Site Loader

Содержание

Мультиплексоры и демультиплексоры

Мультиплексорами называются устройства, которые позволяют подключать несколько входов к одному выходу. Демультиплексорами называются устройства, которые позволяют подключать один вход к нескольким выходам. В простейшем случае такую коммутацию можно осуществить при помощи ключей:

Рисунок 1. Коммутатор (мультиплексор), собранный на ключах.

В цифровых схемах требуется управлять ключами при помощи логических уровней. То есть нужно подобрать устройство, которое могло бы выполнять функции электронного ключа с электронным управлением цифровым сигналом.

Рассмотрим таблицу истинности логического элемента «И-НЕ»:

Теперь один из входов элемента будем рассматривать как информационный вход электронного ключа, а другой вход — как управляющий. По таблице истинности отчетливо видно, что пока на управляющий вход Y подан логический уровень ‘0’ сигнал со входа X на выход Out не проходит. При подаче на управляющий вход Y логической ‘1’, сигнал, поступающий на вход X, поступает на выход Out. То есть логический элемент «И» можно использовать в качестве электронного ключа. При этом не важно какой из входов элемента «И» будет использоваться в качестве управляющего входа, а какой — в качестве информационного. ОстаЈтся только объединить выходы элеметов «И» на один выход. Это делается при помощи элемента «ИЛИ». Такая схема коммутатора приведена на рисунке 2.

Рисунок 2. Принципиальная схема мультиплексора, выполненая на логических элементах.

В этой схеме можно одновременно включать несколько входов на один выход. Однако обычно это приводит к непредсказуемым последствиям. Кроме того, для управления требуется много входов, поэтому в состав мультиплексора включают дешифратор. Это позволяет управлять переключением входов микросхемы на выход при помощи двоичных кодов:

 

Рисунок 2. Принципиальная схема мультиплексора, управляемого двоичным кодом.

Мультиплексор изображается на принципиальных схемах как показано на рисунке 3.

Рисунок 3. Обозначение мультиплексора на принципиальных схемах.

Задача передачи сигнала с одного входа микросхемы на один из нескольких выходов называется демультиплексированием. Демультиплексор можно построить на основе точно таких же схем логического “И” существенным  отличием от мультиплексора является возможность объединения нескольких входов в один без дополнительных схем. Для выбора конкретного выхода демультиплексора, как и в мультиплексоре, используется двоичный дешифратор. Cхема демультиплексора приведена на следующем рисунке:

Рисунок 4. Принципиальная схема демультиплексора, управляемого двоичным кодом.


[Назад] [Содержание] [Вперёд]

Цифровые схемы — мультиплексоры — CoderLessons.com

Мультиплексор — это комбинационная схема, которая имеет максимум 2 n входов данных, n линий выбора и одну выходную линию. Один из этих входов данных будет подключен к выходу на основе значений линий выбора.

Так как есть n строк выбора, будет 2 n возможных комбинаций нулей и единиц. Итак, каждая комбинация выберет только один ввод данных. Мультиплексор также называется

Mux .

4×1 Мультиплексор

Мультиплексор 4×1 имеет четыре входа данных I 3 , I 2 , I 1 и I 0 , две строки выбора s 1 & s 0 и один выход Y. Блок-схема мультиплексора 4×1 показана на следующем рисунке.

Один из этих 4 входов будет подключен к выходу на основе комбинации входов, присутствующих в этих двух линиях выбора. Таблица истинности мультиплексора 4×1 показана ниже.

Линии выбора Выход
S 1 S 0 Y
0 0 Я 0
0 1 Я 1
1 0 Я 2
1 1 Я 3

Из таблицы Truth мы можем напрямую написать булеву функцию для вывода, Y как

Y=S1′S0′I0+S1′S0I1+S1S0′I2+S1S0I3

Мы можем реализовать эту булеву функцию с помощью инверторов, вентилей AND и вентиля OR. Принципиальная схема мультиплексора 4×1 показана на следующем рисунке.

Мы можем легко понять работу вышеупомянутой схемы. Аналогично, вы можете реализовать мультиплексор 8×1 и мультиплексор 16×1, следуя той же процедуре.

Реализация мультиплексоров высшего порядка.

Теперь давайте реализуем следующие два мультиплексора высшего порядка, используя мультиплексоры низкого порядка.

  • 8×1 мультиплексор
  • 16×1 мультиплексор

8×1 мультиплексор

В этом разделе мы реализуем мультиплексор 8×1, используя мультиплексоры 4×1 и мультиплексор 2×1. Мы знаем, что 4×1 Multiplexer имеет 4 входа данных, 2 строки выбора и один выход. Принимая во внимание, что мультиплексор 8×1 имеет 8 входов данных, 3 строки выбора и один выход.

Итак, нам требуется два мультиплексора 4×1 на первом этапе, чтобы получить 8 входных данных. Поскольку каждый мультиплексор 4×1 производит один выходной сигнал, нам требуется мультиплексор 2×1

на втором этапе, рассматривая выходы первого этапа в качестве входных данных и для получения конечного выхода.

Пусть мультиплексор 8×1 имеет восемь входов данных от I 7 до I 0 , три строки выбора s 2 , s 1 & s0 и один выход Y. Таблица истинности мультиплексора 8×1 показана ниже.

Выбор входов Выход
S 2 S 1 S 0 Y
0 0 0 Я 0
0 0 1 Я
1
0 1 0 Я 2
0 1 1 Я 3
1 0 0 Я 4
1 0 1 Я 5
1 1 0 Я 6
1 1 1 Я 7

Мы можем легко реализовать мультиплексор 8×1, используя мультиплексоры низкого порядка, рассмотрев приведенную выше таблицу истинности. Блок-схема мультиплексора 8×1 показана на следующем рисунке.

Те же строки выбора, s 1 и s 0 , применяются к обоим мультиплексорам 4×1. Входы данных верхнего мультиплексора 4×1 — от I 7 до I 4, а входы данных нижнего мультиплексора 4×1 — от I 3 до I 0 . Таким образом, каждый мультиплексор 4×1 создает выходной сигнал на основе значений строк выбора, s 1 и s 0 .

Выходы мультиплексоров 4×1 первой ступени применяются в качестве входов мультиплексора 2×1, который присутствует на второй ступени. Другая строка выбора s 2 применяется к мультиплексору 2×1.

  • Если s 2 равно нулю, то выход мультиплексора 2×1 будет одним из 4 входов от I

    3 до I 0 на основе значений линий выбора s 1 & s 0 .

  • Если s 2 равно единице, то выход мультиплексора 2×1 будет одним из 4 входов от I 7 до I 4 на основе значений линий выбора s 1 & s 0 .

Если s 2 равно нулю, то выход мультиплексора 2×1 будет одним из 4 входов от I 3 до I 0 на основе значений линий выбора s 1 & s 0 .

Если s 2 равно единице, то выход мультиплексора 2×1 будет одним из 4 входов от I 7 до I 4 на основе значений линий выбора s

1 & s 0 .

Таким образом, общая комбинация двух мультиплексоров 4×1 и одного мультиплексора 2×1 работает как один мультиплексор 8×1.

16×1 мультиплексор

В этом разделе мы реализуем мультиплексор 16×1, используя мультиплексоры 8×1 и мультиплексор 2×1. Мы знаем, что мультиплексор 8×1 имеет 8 входов данных, 3 строки выбора и один выход. Принимая во внимание, что мультиплексор 16×1 имеет 16 входов данных, 4 строки выбора и один выход.

Итак, нам требуется два мультиплексора 8×1 на первом этапе, чтобы получить 16 входных данных. Так как каждый мультиплексор 8×1 производит один выход, нам требуется мультиплексор 2×1 на втором этапе, рассматривая выходы первого этапа в качестве входных данных и для получения конечного выхода.

Пусть мультиплексор 16×1 имеет шестнадцать входов данных от I 15 до I 0 , четыре строки выбора от s 3 до s 0 и один выход Y. Таблица истинности мультиплексора 16×1 показана ниже.

Выбор входов Выход
S 3 S 2 S 1 S 0 Y
0 0 0 0 Я 0
0 0 0 1 Я
1
0 0 1 0 Я 2
0 0 1 1 Я 3
0 1 0 0 Я 4
0 1 0 1 Я 5
0 1 1 0 Я 6
0 1 1 1 Я 7
1 0 0 0 Мне 8
1 0 0 1 Мне 9
1 0 1 0 Мне 10
1 0 1 1 Мне 11
1 1 0 0 Мне 12
1 1 0 1 Мне 13
1 1 1 0 Мне 14
1 1 1 1 Мне 15

Мы можем легко реализовать мультиплексор 16×1, используя мультиплексоры низкого порядка, рассмотрев приведенную выше таблицу истинности. Блок-схема мультиплексора 16×1 показана на следующем рисунке.

Те же строки выбора, s 2 , s 1 и s 0 , применяются к обоим мультиплексорам 8×1. Входы данных верхнего мультиплексора 8×1 — от I 15 до I 8, а входы данных нижнего мультиплексора 8×1 — от I 7 до I 0 . Следовательно, каждый мультиплексор 8×1 создает выходной сигнал на основе значений строк выбора, s 2 , s 1 & s 0 .

Выходы мультиплексоров 8×1 первой ступени применяются в качестве входов мультиплексора 2×1, который присутствует на второй ступени. Другая строка выбора s 3 применяется к мультиплексору 2×1.

  • Если s 3 равно нулю, то выход мультиплексора 2×1 будет одним из 8 входов от 7 до I 0 на основе значений линий выбора s 2 , s 1 & s 0 .

  • Если s 3 равен единице, то выход мультиплексора 2×1 будет одним из 8 входов от I 15 до I 8 на основании значений линий выбора s 2 , s 1 & s 0 .

Если s 3 равно нулю, то выход мультиплексора 2×1 будет одним из 8 входов от 7 до I 0 на основе значений линий выбора s 2 , s 1 & s 0 .

Если s 3 равен единице, то выход мультиплексора 2×1 будет одним из 8 входов от I 15 до I 8 на основании значений линий выбора s 2 , s 1 & s 0 .

Таким образом, общая комбинация двух мультиплексоров 8×1 и одного мультиплексора 2×1 работает как один мультиплексор 16×1.

Цифровая электроника | Страница 17 из 32

Мультиплексоры и демультиплексоры

Мультиплексор — комбинационное цифровое устройство, которое обеспечивает передачу на единственный выход F одного из нескольких входных сигналов Dj в соответствии с поступающим адресным кодом Ai. При наличии n адресных входов можно реализовать M=2n комбинаций адресных сигналов, каждая из которых обеспечивает выбор одного из M входов. Чаще всего используются мультиплексоры «из 4 в 1» (n=2, M=4), «из 8 в 1» (n=3, M=8), «из 16 в 1» (n=4, M=16). Правило работы мультиплексора «из 4 в 1» можно задать таблицей истинности:

Входы

Выход

A1

A0

F

0

0

D0

0

1

D1

1

0

D2

1

1

D3

Логическое выражение для выходной функции, заданной таблицей, можно записать в виде

.

В соответствии с полученной формулой для реализации мультиплексора можно использовать логические элементы И, ИЛИ, НЕ. Синтезированная структурная схема мультиплексора показана на рис. 4.13,а, а его условное графическое обозначение – на рис. 4.13,б.

 

а) б)

Рис. 4.13.Структура и УГО мультиплексора «из 4 в 1».

Мультиплексирование при большом числе входов можно выполнить пирамидальным каскадированием мультиплексоров, как это показано на рис. 4.14. На рисунке показано каскадирование мультиплексоров «из 4 в 1» для реализации функции мультиплексирования «из 16 в 1».

Рис. 4.14.Пирамидальное каскадирование мультиплексоров.

Мультиплексоры первого уровня управляются адресными сигналами А0 и А1, а мультиплексоры второго – адресными сигналами А2 и А3. Каждый из мультиплексоров первого уровня выбирает один из четырех разрядов Dj. Первый мультиплексор выбирает один из разрядов D0D3, второй мультиплексор – один из разрядов D4D7 и т.д. Выходы с мультиплексоров первого уровня объединяются в мультиплексоре второго уровня, который осуществляет окончательную коммутацию и формирование выходного сигнала F.

Мультиплексор можно реализовать, используя дешифратор и схемы И и ИЛИ (рис. 4.15). Дешифратор формирует логическую единицу на одном из выходов согласно входному двоичному коду. Сигналы с выходов дешифратора являются стробирующими, т.е. разрешающими сигналами для схемы совпадения единиц, реализованной на двухвходовых элементах И. Логическая единица будет формироваться на выходе только того элемента И, на один вход которого подается единица с выхода дешифратора и на второй вход – единица с соответствующего входа Dj. Для объединения выходов всех элементов И в один выход F, служит элемент ИЛИ. На его выходе формируется логическая единица, если таковая присутствует на опрашиваемом в данный момент входе Dj.

Рис. 4.15. Реализация мультиплексора на базе дешифратора.

Демультиплексор выполняет функцию, обратную мультиплексору, т.е. в соответствии с принятой адресацией Ai направляет информацию с единственного входа D на один из M выходов Fj. При этом на остальных выходах будут логические нули (единицы). Принцип работы демультиплексора «из 1 в 4» иллюстрируется таблицей истинности:

Входы

Выходы

A1

A0

F3

F2

F1

F0

0

0

0

0

0

D

0

1

0

0

D

0

1

0

0

D

0

0

1

1

D

0

0

0

Логические выражения для каждого из выходов можно представить в виде:

.

Структурная схема, реализующая демультиплексор «из 1 в 4» приведена на рис. 4.16,а, а его условное графическое обозначение – на рис. 4.16,б.

Как и в случае мультиплексора, схему демультиплексора можно реализовать с помощью дешифратора. Действительно, ФАЛ демультиплексора отличается от ФАЛ дешифратора только наличием входного сигнала D в конъюнкциях с адресными входами. Следовательно, объединив выходы дешифратора с входом D с помощью стробирующих элементов И, можно получить демультиплексор (рис. 4.17). Мультиплексоры и демультиплексоры часто называют еще цифровыми коммутаторами.

 

а) б)

Рис. 4.16. Структурная схема и УГО демультиплексора «из 1 в 4».

Рис. 4.17.Реализация демультирлексора на базе дешифратора.

Мультиплексоры | Основы электроакустики

Мультиплексор – это функциональный узел, осуществ-ляющий подключение (коммутацию) одного из нескольких вхо-дов данных к выходу. Номер выбранного входа соответствует коду, поданному на адресные входы мультиплексора. Аналогично дешифраторам, мультиплексоры бывают полными и неполными. В мультиплексоре имеются информационные, адресные входы и, как правило, разрешающие (стробирующие). Разре-шающие входы используют для расширения функциональных возможностей мультиплексора. Они используются для наращи-вания разрядности мультиплексора, синхронизации его работы с работой других узлов. Сигналы на разрешающих входах могут разрешать, а могут и запрещать подключение определенного входа к выходу, т. е. могут блокировать действие всего устройства. Мультиплексоры обозначают как MUX (от англ. multiplexor) или MS (от англ. multiplexor selector). Схематически мультиплексор можно изобразить в виде коммутатора, обеспечивающего подключение одного из нескольких входов (их называют информационными) к одному выходу устройства. Рассмотрим функционирование четырехвходового мультиплексора (4→1), который условно изображен в виде коммутатора(рис.21.1,а), а состояние его входов D1, D2, D3, D4 и выхода Y приведено на рис. 21.1, б. Исходя из таблицы, можно записать следующее уравнение: Y = D0(40 41) + D1(A0 41) + D2(40 A1) + D3(A0A1). (21.1)

  

Рис.21.1. Упрощенное представление мультиплексора в виде коммутатора (а) и таблица состояний мультиплексора (б)

 

На рис. 21.2 показаны условное графическое обозначение и реализация такого устройства.

Рис. 21.2. Четырехвходовый мультиплексор: условное графическое обозначение (а) и его реализация на логических элементах (б)

Основой данной схемы являются схемы совпадения на элементах И, которые при логическом уровне «1» на одном из своих входов повторяют на выходе то, что есть на другом входе.

 

Мультиплексор предназначен для коммутации N каналов входных сигналов на одно устройство обработки в задаваемой очередности.

 

Рис. 21.3. Функциональная схема MS типа КП5

 

 

На рис. 21.3 изображена функциональная схема ИС типа K155КП5. В ее основе лежит логический элемент DD1, который работает так: на его выход передается с инверсией сигнал, равный сумме частных произведений входных сигналов ЛЭ «И». На каждую схему «И» подается входной сигнал с одного из каналов информации (входы D0 – D7) и одна из комбинаций сигналов, подаваемых на адресные входы 1, 2, 4. Двоичный номер канала X1, X2, X4, подаваемый на входы 1, 2, 4 определяет, сигнал какого из каналов информации D(X2, X1, X0) будет передан на выход. Например, на входе четвертого ЛЭ «И» действует сигнал, равный D4X4X2X1. Если на адресные входы подать код 1002 , то единичное значение примет только один набор переменных X1, X2, X4 для четвертого канала – X4X2X1. Поэтому только сигнал D4 умножается на 1 и пропускается на выход ИС с инверсией.

Мультиплексор можно использовать в качестве универсального логического элемента для реализации любой функции с числом переменных, равным числу адресных входов мультиплексора.

При этом на входы D0 – D7 (для КП5) подаются либо логический 0, либо логическая единица с инверсией по отношению к выходной переменной в таблице истинности (номер набора совпадает с номером входа). Входные сигналы подаются на адресные входы.

Такой способ реализации ЛЭ может дать экономию при использовании микросхем. Условные графические обозначения некоторых мультиплексоров приведены на рис. 21.4 а — в.

Если необходимо расширить число входов, то используют каскадное включение мультиплексоров. Принцип наращивания числа каналов основывается на использовании входов стробирования.

Схема мультиплексора с четырьмя входами (4→1), построенного на основе мультиплексоров (2→1), приведена на рис. 21.5.

В 64-канальном мультиплексоре (рис. 21.6) код X5, X4, X3 определяет номер выхода дешифратора, на котором будет действовать уровень логической 1 и, следовательно, номер MS, находящегося в рабочем состоянии (у других MS на выходе постоянно уровень логической 1). На выходе выбранного MS (с инверсией) и на выходе ЛЭ 8И-НЕ (без инверсии) будет действовать сигнал i-го канала, определяемого кодом X2, X1, X0. 

Мультиплексоры являются универсальными логическими устройствами, на основе которых создают различные комбинационные и последовательностные схемы. Мультиплексоры могут использоваться в делителях частоты, триггерных устройствах,

 

Рис. 21.4. ИМС мультиплексоров: а – К155КП1, б – К155КП2, в – К155КП5

 

 

 

 

Рис. 21.5. Каскадное включение мультиплексоров

 

 

 

сдвигающих устройствах и др. Мультиплексоры часто используют для преобразования параллельного двоичного кода в последовательный. Для такого преобразования достаточно подать на информационные входы мультиплексора параллельный двоичный код, а сигналы на адресные входы подавать в такой последовательности, чтобы к выходу поочередно подключались входы, начиная с первого и кончая последним. 

Рис. 21.5. 64-канальный мультиплексор

 

 

 

 

 

 

 

 

 

Исследование комбинационных схем | Лаборатория Электронных Средств Обучения (ЛЭСО) СибГУТИ

Лабораторная работа выполняется с помощью учебного лабораторного стенда LESO2.

1 Цель работы

Целью работы является изучение принципов действия комбинационных схем: дешифратора, шифратора, преобразователя кода для семисегментного индикатора, мультиплексора, сумматора.

2 Краткие теоретические сведения

2.1 Дешифратор (декодер)

Дешифратор (декодер) служит для преобразования n-разрядного позиционного двоичного кода в единичный выходной сигнал на одном из 2n выходов. При каждой входной комбинации сигналов на одном из выходов появляется 1. Таким образом, по единичному сигналу на одном из выходов можно судить о входной кодовой комбинации. Таблица истинности для декодера с двумя входами изображена в таблице 2.1.

Таблица 2.1 – Таблица истинности двухразрядного дешифратора

x1x2y0y1y2y3
001000
010100
100010
110001

Для построения схемы декодера по таблице истинности воспользуемся методикой, изложенной в лабораторной работе №1, выполняемой на стенде LESO2. Например, устройство должно иметь 4 выхода. Для каждого выхода записываем логическое выражение. На основе СДНФ:

y0 = x1·x2

y1 = x1·x2

y2 = x1·x2

y3 = x1·x2

По этой системе выражений несложно построить схему требуемого дешифратора (рисунок 2.1).

Рисунок 2.1 – Схема дешифратора

Условное графическое обозначение такого дешифратора изображено на рисунке 2.2.

Рисунок 2.2 – Условное графическое обозначение дешифратора

2.2 Шифратор (кодер)

Шифратор выполняет функцию, обратную декодеру (дешифратору), то есть преобразует непозиционный (унитарный) двоичный 2n разрядный код в n разрядный позиционный код. При подаче на один из входов единичного сигнала на выходе формируется соответствующий двоичный код. Составим таблицу истинности шифратора при n = 2.

Таблица 2.2 – Таблица истинности шифратора при n = 2

x1x2x3x4y1y0
100000
010001
001010
000111

Синтезируем шифратор.4 = 16, что больше 10). Составим таблицу истинности работы такого преобразователя.

Таблица 2.3 – Таблица истинности преобразователя

ЦифраДвоичный код 8-4-2-1 aбвгдеж
000001111110
100010110000
200101101101
300111111001
401000110011
501011011011
601101011111
701111110000
810001111111
910011111011

По ТИ несложно составить систему собственных функций для всех выходов, т.n информационных входов X на один выход Y под действием n управляющих (адресных) сигналов. На рисунке. 2.7 изображена упрощенная функциональная схема мультиплексора на идеализированных электронных ключах.

Рисунок 2.7 – Схема мультиплексора на идеализированных электронных ключах

В цифровых схемах требуется управлять ключами при помощи логических уровней. Поэтому желательно подобрать устройство, которое могло бы выполнять функции электронного ключа с управлением цифровым сигналом. Попробуем «заставить» работать в качестве электронного ключа уже знакомые нам логические элементы. Рассмотрим ТИ логического элемента «И». При этом один из входов логического элемента «И» будем рассматривать как информационный вход электронного ключа, а другой вход – как управляющий. Так как оба входа логического элемента «И» эквивалентны, то не важно какой из них будет управляющим входом. Пусть вход X будет управляющим, а Y – информационным. Для простоты рассуждений, разделим ТИ на две части в зависимости от уровня логического сигнала на управляющем входе X.

Таблица 2.4 – Таблица истинности

yxOut
0
0
0
1
0
0
1
1
0
1
0
1

По таблице истинности отчётливо видно, что если на управляющий вход X подан нулевой логический уровень, сигнал, поданный на вход Y, на выход Out не проходит. При подаче на управляющий вход X логической единицы, сигнал, поступающий на вход Y, появляется на выходе Out. Это означает, что логический элемент «И» можно использовать в качестве электронного ключа. При этом не важно, какой из входов элемента «И» будет использоваться в качестве управляющего входа, а какой – в качестве информационного. Остается только объединить выходы элементов «И» на один общий выход. Это делается при помощи логического элемента «ИЛИ» точно так же как и при построении схемы по произвольной таблице истинности. Получившийся вариант схемы коммутатора с управлением логическими уровнями приведён на рисунке 2.8.

Рисунок 2.8 – Принципиальная схема мультиплексора, выполненная на логических элементах

В схемах, приведенных на рисунках 2.7 и 2.8, можно одновременно включать несколько входов на один выход. Однако обычно это приводит к непредсказуемым последствиям. Кроме того, для управления таким коммутатором требуется много входов, поэтому в состав мультиплексора обычно включают двоичный дешифратор, как показано на рисунке 2.9. Такая схема позволяет управлять переключением информационных входов мультиплексора при помощи двоичных кодов, подаваемых на его управляющие входы. Количество информационных входов в таких схемах выбирают кратным степени числа два.

Рисунок 2.9 – Принципиальная схема мультиплексора, управляемого двоичным кодом

Условное графическое обозначение 4–х входового мультиплексора с управлением двоичным кодом приведено на рисунке 2.10. Входы A0 и A1 являются управляющими входами мультиплексора, определяющими адрес информационного входного сигнала, который будет соединён с выходным выводом мультиплексора Y. Информационные входные сигналы обозначены: X0, X1, X2 и X3.

Рисунок 2.10 – Условное графическое обозначение 4-х входового мультиплексора

В условном графическом обозначении названия информационных входов A, B, C и D заменены названиями X0, X1, X2 и X3, а название выхода Out заменено на название Y. Такое обозначение входов и выходов мультиплексора более распространено в отечественной литературе. Адресные входы обозначены как A0 и A1.

Об особенностях реализации мультиплесоров на языке Verilog можно почитать в статье:
Архитектура ПЛИС. Часть 2. Мультиплексор

2.5 Сумматор

Сумматор – узел компьютера, предназначенный для сложения двоичных чисел. Построение двоичных сумматоров обычно начинается с сумматора по модулю 2.

Сумматор по модулю 2

Схема сумматора по модулю 2 совпадает со схемой исключающее «ИЛИ».

Таблица 2.5 – Таблица истинности сумматора по модулю 2

x1x2y
000
011
101
110

Логическое выражение, описывающее сумматор по модулю 2:

y = x1 · x2 + x1 · x2

Рисунок 2.11 – Условное графическое обозначение сумматора по модулю 2

На основе логического уравнения, описывающего этот элемент можно синтезировать схему:

Рисунок 2.12 – Схема сумматора по модулю 2

Сумматор по модулю 2 выполняет суммирование без учёта переноса. В обычном двоичном сумматоре требуется учитывать перенос, поэтому требуются схемы, позволяющие формировать перенос в следующий двоичный разряд. Таблица истинности такой схемы, называемой полусумматором, приведена в таблице 2.6.

Таблица 2.6 – Таблица истинности полусумматора

ABSP0
0000
0110
1010
1101

Здесь A и B – слагаемые;
S – сумма;
P0 – перенос в старший разряд (выход переноса Pout).
Запишем систему собственных функций для полусумматора:

S = A · B + A · B
P0 = A · B

Рисунок 2.13 – Принципиальная схема, реализующая таблицу истинности полусумматора   Рисунок 2.14 – Изображение полусумматора на схемах

Полный сумматор.

Схема полусумматора формирует перенос в старший разряд, но не может учитывать перенос из младшего разряда. При сложении многоразрядных двоичных чисел необходимо складывать три цифры в каждом разряде – 2 слагаемых и единицу переноса из предыдущего разряда PI.

Таблица 2.7 – Таблица истинности полного сумматора

PIABSPO
00000
00110
01010
01101
10010
10101
11001
11111

 
PI – вход 1 переноса из предыдущего разряда,
PO – выход 1 переноса в старший разряд.

На основании таблицы истинности запишем систему собственных функций для каждого выхода:

S = A · B · PI + A · B · PI + A · B · PI + A · B · PI

PO = A · B · PI + A · B · PI + A · B · PI + A · B · PI

В результате получим схему полного сумматора (рисунок 2.15).

Рисунок 2.15 – Принципиальная схема, реализующая таблицу истинности полного двоичного одноразрядного сумматора  

Рисунок 2.16 – Изображение полного двоичного одноразрядного сумматора на схемах

3 Задание к работе

3.1 Исследовать принцип работы дешифратора 2 x 4

Сконфигурировать ПЛИС в соответствии с рисунком 3.1. Подключить к входам X0 и X1 переключатели S7 и S8, а к выходам Y0, Y1, Y2, Y3 светодиодные индикаторы LED5, LED6, LED7, LED8. Для этого подключить входы и выходы дешифратора к соответствующим ножкам ПЛИС.

Рисунок 3.1 – Схема дешифратора

Подавая все возможные комбинации логических уровней на входы X0, X1 с помощью ключей S7, S8 и наблюдая за состояниями светодиодных индикаторов LED5, LED6, LED7, LED8, заполните таблицу истинности дешифратора.

Таблица 3.1 – Таблица дешифратора

x1x2y0y1y2y3
00    
01    
10    
11    

3.2 Исследовать принцип работы шифратора 4×2
Сконфигурировать ПЛИС в соответствии с рисунком 3.2.

Рисунок 3.2 – Схема шифратора 4×2

Подключить к входам X1, X2, X3, X4 переключатели S8, S7, S6, S5, а к выходам Y0, Y1 светодиодные индикаторы LED8, LED7. Для этого подключить входы и выходы дешифратора к соответствующим ножкам ПЛИС. Подавая все возможные комбинации логических уровней на входы X1, X2, X3, X4 с помощью ключей S8, S7, S6, S5 и наблюдая за состояниями светодиодных индикаторов LED7, LED8, заполните таблицу истинности шифратора.

Таблица 3.2 – Таблица истинности шифратора

x1x2x3x4y1y0
1000  
0100  
0010  
0001  

3.3 Исследовать работу преобразователя кода для семисегментного индикатора.

Составить таблицу истинности преобразователя кода (таблица. 3.3).
Собрать схему, изображенную на рисунке 3.3.

Таблица 3.3 – Таблица истинности преобразователя

x3x2x1x0ABCDEFG
0000       
0001       
0010       
0011       
0100       
0101       
0110       
0111       
1000       
1001       
  Рисунок 3.3 – Схема преобразователя кода для семисегментного индикатора

Подавая с помощью ключей S8, S7, S6, S5 различные кодовые комбинации на входы X0, X1, X2, X3 определить цифры, высвечиваемые на индикаторе. По результатам эксперимента заполнить таблицу 3.4.

Таблица 3.4 – Таблица, описывающая работу преобразователя кода для семисегментного индикатора

x3x2x1x0Показание индикатора
0000 
0001 
0010 
0011 
0100 
0101 
0110 
0111 
1000 
1001 

3.4 Исследовать работу мультиплексора 4×1

Сконфигурировать ПЛИС в соответствии с рисунком 3.4.

Рисунок 3.4 – Схема мультиплексора 4×1

Поочередно устанавливая все возможные кодовые комбинации на адресных входах A и B, определите номера коммутируемых каналов. Номер коммутируемого канала определяется путем поочерёдного подключения к входам X0, X2, X3, X4 уровня логической единицы и наблюдения за выходом Y. Заполните таблицу 3.5.

Таблица 3.5 – Таблица, описывающая работу мультиплексора

BAНомер коммутируемого канала
00 
01 
10 
11 

3.5 Исследовать схему сумматора

Сконфигурировать ПЛИС в соответствии с рисунком 3.5. Здесь Pin, Pout соответственно вход и выход единицы переноса, A и B – слагаемые, S – сумма.

Рисунок 3.5 – Схема сумматора

Заполнить таблицу истинности сумматора (таблица 3.6).

Таблица 2.7 – Таблица истинности полного сумматора

PinBAPout
000 
001 
010 
011 
100 
101 
110 
111 

 

4 Содержание отчета
  1. Цель работы.
  2. Схемы исследования дешифратора, шифратора, преобразователя кода для семисегментного индикатора, мультиплексора, сумматора.
  3. Таблицы истинности для каждой схемы.
  4. Выводы по каждому заданию.

5 Контрольные вопросы
  1. Принцип работы дешифратора?
  2. Как синтезировать дешифратор с произвольной разрядностью?
  3. Как работает шифратор?
  4. Изобразите таблицу истинности шифратора.
  5. Как работает преобразователь кода для семисегментного индикатора?
  6. Как устроен семи сегментный индикатор?
  7. Как работает мультиплексор?
  8. Как в лабораторной работе проводилось исследование мультиплексора?
  9. Как работает сумматор?
  10. Изобразите таблицу истинности шифратора.
  11. Что такое единица переноса?

Лекции стр13.»Цифровая схемотехника»

Лекции стр13.»Цифровая схемотехника»

Мультиплексор
Правила синтеза устройств на мультиплексоре
Демультиплексор

Мультиплексор – это комбинационное логическое устройство, предназначенное для управляемой передачи данных от нескольких источников информации в один выходной канал.
Мультиплексор — цифровые позиционные переключатели, т.е. мультиплексор назначение коммутировать на одну выходную линию сигналы от различных выходных источников, следовательно мультиплексор имеет 3 группы входов :
1)информационные,
2)адресные – двоичный код, на котором определяется какой из информационных входов подключен к выходу;
3) стробирующие (разрешающие)
При разрядности адреса n, число информационных входов 2n.
Функционирование мультиплексора отражено в таблице истинности :

c

А1

А0

Q

1

х

х

0

1

0

0

0

D0

0

0

0

1

D1

1

0

1

0

D2

2

0

1

1

D3

3


При подаче на стробирующий вход активного логического сигнала (лог.1) , выходной сигнал постоянен и не зависит от входных сигналов.
ФАЛ:
MS:  Q = D0A1A0cV D1A1A0cV D2A1A0cV D3A1A0cV
Можно составить логическую схему 2 порядка:
   

Число информационных входов в реально выпускаемых ИМС МS не превышает 16.
Если необходимо иметь большее число входов, то из имеющихся ИМС строят структуру мультимплексорного дерева.
   Дано адресное слово 0110 МS I  уровня входом D2, что соответствует младшим разрядам адресного слова 10, подключается к входам MS II уровня, т.е. будут поданы х2,х6, х10, х14.
   МS II уровня выберет по старшим разрядам адресное слово 01 сигнал на D1, т.е. х6.

Использование мультиплексора для синтеза комбинационных устройств.

   Мультиплексор может быть использован для синтеза любого логического устройства. При этом может быть достигнуто значительное снижение числа используемых в схеме элементов.

Правила синтеза устройств на мультиплексоре

  1. Построить карту Карно выходной функции ( по переменным функциям)
  2. Выбрать порядок мультиплексора, который будет использоваться в схеме.
  3. Построить маскирующую матрицу, которая соответствует порядку выбранного мультиплексора.
  4. Наложить маскирующую матрицу на карту Карно.
  5. Минимизировать функцию в каждой области маскирующей матрицы отдельно.
  6. Результат минимизации записывается по тем переменным, которые не подаются на адресные входы мультиплексора.
  7. По результатам минимизации строится схема, которая может содержать и логический элемент.

Подробнее рассмотрим на примерах:

  1. Функция трех переменных на мультиплексоре третьего порядка


Функция трех переменных на мультиплексоре второго порядка.

Функция четырех переменных на мультиплексоре второго порядка.

Демультиплексор – комбинационное логическое устройство, предназначенное для управляемой передачи данных от одного источника информации на несколько выходных каналов.
   В общем случае:
Демультиплексор имеет один информационный вход, n адресных входов, 2n выхода и вход разрешения:

Таблица истинности

E

А1

А0

y0

y1

y2

y3

1

x

x

0

0

0

0

0

0

0

D

0

0

0

0

0

1

0

D

0

0

0

1

0

0

0

D

0

0

1

1

0

0

0

D

При подаче на информационный вход D=1   DMS>DC

ФАЛ:  y0=DA1A0E
   y1= DA1A0E
   y2=DA1A0E
   y3=DA1A0E
исходя из выше сказанного , можно построить логическую схему:

Вернутся к содержанию…

Используются технологии uCoz

Мультиплексор

Библиотека: Плексоры
Введён в: 2.0 Beta 11
Внешний вид:

Поведение

Копирует значение со входа на западном крае на выход на восточном крае; какое из входных значений должно быть копировано, определяется текущим значением, принятым на входе на южном крае. Я считаю полезным думать о мультиплексоре как об аналоге железнодорожной стрелки, управляемой выбирающим входом.

(Кстати, на английском некоторые специалисты пишут multiplexor, но multiplexer является преобладающим написанием.)

Контакты (предполагается, что компонент направлен на восток, положение выбирающего входа — снизу/слева)

Западный край, переменное количество (входы, разрядность соответствует атрибуту Биты данных)
Значения данных, одно из которых должно быть направлен на выход. Каждое значение входных данных нумеруется, начиная с 0 на севере.
Восточный край (выход, разрядность соответствует атрибуту Биты данных)
Выходное значение будет соответствовать входному значению на западном крае, номер которого равен значению, принятому в данный момент на выбирающем входе на юге. Если выбирающий вход содержит неопределённые (например, плавающие) биты, то выход будет полностью плавающим.
Южный край, левая сторона, отмечен серым кружком (вход, разрядность соответствует атрибуту Выбирающие биты)
Выбирающий вход: значение этого входа определяет, какой вход на западном крае будет перенаправлен на выход на восточном крае.
Южный край, правая сторона (вход, разрядность равна 1)
Разрешить: когда 0, на всех битах выхода мультиплексора плавающие значения, независимо от входа данных и выбирающего входа.

Атрибуты

Когда компонент выбран, или уже добавлен, клавиши от 0 до 9 меняют его атрибут Выбирающие биты, комбинации от Alt-0 до Alt-9 меняют его атрибут Биты данных, а клавиши со стрелками меняют его атрибут Направление.

Направление
Направление компонента (его выхода относительно его входа).
Положение выбирающего входа
Положение выбирающего и разрешающего входов относительно компонента.
Выбирающие биты
Разрядность выбирающего входа компонента на его южном крае. Количество входов для мультиплексора будет равно 2Выбирающие_биты.
Биты данных
Разрядность данных, проходящих через мультиплексор.
На отключенном выходе
Определяет, каким должен быть каждый бит выхода, когда компонент выключен (то есть когда на контакте Разрешить — 0). Существуют варианты «ноль» и «плавающее»; в последнем случае выход фактически отключен от всех других контактов.
Разрешающий вход?
Компонент имеет разрешающий вход, когда значение этого атрибута да. Этот атрибут нужен в основном для поддержки схем, построенных с использованием более старых версий Logisim, которые не предусматривали разрешающий вход.

Поведение Инструмента Нажатие

Нет.

Поведение Инструмента Текст

Нет.

Назад к Справке по библиотеке

Мультиплексоры

в цифровой логике — GeeksforGeeks

Это комбинационная схема, которая имеет много входов данных и один выход в зависимости от управления или выбора входов. n входных строк, требуется n строк выбора. Мультиплексоры также известны как «Селектор данных n, преобразователь параллельно в последовательный, схема« многие в одну », универсальная логическая схема» . Мультиплексоры в основном используются для увеличения объема данных, которые могут быть отправлены по сети за определенное время и определенную полосу пропускания.

Теперь реализация мультиплексора 4: 1 с использованием таблицы истинности и вентилей.


Мультиплексор может действовать как универсальная комбинационная схема. Все стандартные логические элементы могут быть реализованы с помощью мультиплексоров.

a) Реализация шлюза NOT с использованием 2: 1 Mux

NOT Gate:

Мы можем проанализировать его
Y = x ’.1 + x.0 = x ’
Это НЕ вентиль, использующий 2: 1 MUX.
Реализация логического элемента НЕ выполняется с использованием «n» строк выбора. Это не может быть реализовано с использованием строк выбора «n-1». Только вентиль НЕ может быть реализован с использованием строк выбора «n-1».


b) Реализация логического элемента AND с использованием 2: 1 Mux

AND GATE

Эта реализация выполняется с использованием строк выбора «n-1».


c) Реализация логического элемента ИЛИ с использованием мультиплексора 2: 1 с использованием линий выбора n-1.


OR GATE

Реализация вентилей NAND, NOR, XOR и XNOR требует двух 2: 1 Mux. Первый мультиплексор будет действовать как вентиль НЕ, который будет обеспечивать дополнительный вход для второго мультиплексора.

d) Реализация шлюза NAND с использованием 2: 1 Mux

NAND GATE

e) Реализация шлюза NOR с использованием 2: 1 Mux

NOR

f) Реализация шлюза EX-OR с использованием 2: 1 Mux

EX-OR GATE

g) Реализация шлюза EX-NOR с использованием 2: 1 Mux

EX-NOR GATE

Реализация MUX более высокого порядка с использованием MUX более низкого порядка

a) 4: 1 MUX с использованием 2: 1 MUX

) 2: 1 MUX требуется для реализации 4: 1 MUX.n — 1) 2: 1 MUX.

b) 16: 1 MUX с использованием 4: 1 MUX

Как правило, для реализации B: 1 MUX с использованием A: 1 MUX используется одна формула для реализации того же.
B / A = K1,
K1 / A = K2,
K2 / A = K3

………………

K N-1 / A = K N = 1 (пока не получим 1 количество MUX).

Затем сложите все числа мультиплексоров = K1 + K2 + K3 +…. + К .
Например: для реализации мультиплексора 64: 1 с использованием мультиплексора 4: 1
Используя приведенную выше формулу, мы можем получить то же самое.
64/4 = 16
16/4 = 4
4/4 = 1 (пока мы не получим 1 количество MUX)
Следовательно, общее количество 4: 1 MUX требуется для реализации 64: 1 MUX = 16 + 4 + 1 = 21.

Пример реализации логической функции, если минимальные и неважные термины заданы с использованием MUX.
f (A, B, C) = Σ (1, 2, 3, 5, 6) без учета (7) с использованием мультиплексора 4: 1 с использованием как
a) AB в качестве выбора : расширение minterms в свою логическую форму и увидит его значение 0 или 1 на C-м месте, чтобы их можно было разместить таким образом.

b) AC как select : расширение minterms до его логической формы и увидит его значение 0 или 1 на B-м месте, чтобы их можно было разместить таким образом.

c) BC as select : расширение minterms до его логической формы, и его значение 0 или 1 будет отображаться в месте A -го , чтобы их можно было разместить таким образом.

Автор статьи Sumouli Choudhury.

Мультиплексор (MUX) и мультиплексирование

В этом руководстве мы узнаем об интересной концепции связи, называемой мультиплексированием, и ее цифровой реализации с использованием комбинационной логической схемы, называемой мультиплексором. Мы узнаем, что такое мультиплексор, различные типы мультиплексоров, такие как мультиплексор 2 к 1, 4 к 1, 8 к 1 и 16 к 1, общедоступные ИС мультиплексора и некоторые важные приложения мультиплексоров.

Что такое мультиплексирование?

Мультиплексирование — это процесс объединения одного или нескольких сигналов и передачи по одному каналу.В аналоговых системах связи канал связи — это дефицитная величина, которую необходимо правильно использовать. Для рентабельного и эффективного использования канала очень полезна концепция мультиплексирования, поскольку она позволяет нескольким пользователям совместно использовать один канал логическим способом.

Три общих типа подходов к мультиплексированию:

Двумя лучшими примерами систем мультиплексирования, используемых в нашей повседневной жизни, являются стационарные телефонные сети и кабельное телевидение.

Устройство, отвечающее за мультиплексирование, называется мультиплексором.Мультиплексоры используются как для аналоговых, так и для цифровых сигналов. Чтобы не усложнять задачу, давайте сосредоточимся на цифровых сигналах в этом руководстве. Мультиплексор — это наиболее часто используемая комбинационная схема, и он является важным строительным блоком во многих цифровых системах.

В основном они используются для формирования выбранного пути между несколькими источниками и одним местом назначения. Базовый мультиплексор имеет несколько линий ввода данных и одну линию вывода. Они используются во многих приложениях цифровых систем, таких как выбор и маршрутизация данных, генераторы логических функций, цифровые счетчики с мультиплексированными дисплеями, телефонная сеть, системы связи, генераторы сигналов и т. Д.В этой статье мы поговорим о типах мультиплексоров и их устройстве.

Что такое мультиплексор?

Мультиплексор или MUX — это цифровой переключатель, также называемый селектором данных. Это комбинационная логическая схема с более чем одной входной линией, одной выходной линией и более чем одной линией выбора. Он принимает двоичную информацию из нескольких входных линий или источников, и в зависимости от набора выбранных строк конкретная входная линия направляется на одну выходную линию.

Основная идея мультиплексирования показана на рисунке ниже, на котором данные из нескольких источников направляются в одну выходную линию, когда переключатель разрешения находится в положении ON.Вот почему мультиплексоры также называют комбинационными схемами «многие к одному».

На рисунке ниже показана блок-схема мультиплексора, состоящего из n входных линий, m линий выбора и одной выходной линии. Если имеется m строк выбора, то количество возможных входных строк составляет 2 м . В качестве альтернативы, мы можем сказать, что если количество входных строк равно 2 м , то требуется m строк выбора для выбора одной из n (рассмотрим 2 m = n) входных строк.

Этот тип мультиплексора называется мультиплексором 2 n × 1 или мультиплексором 2 n : 1. Например, если количество строк ввода равно 4, то требуются две строки выбора. Точно так же, чтобы выбрать одну из 8 строк ввода, требуются три строки выбора.

Как правило, количество входных данных в мультиплексор представляет собой степень двойки, например 2, 4, 8, 16 и т. Д. Некоторые из наиболее часто используемых мультиплексоров включают 2-к-1, 4-к-1 , Мультиплексоры 8-к-1 и 16-к-1.

Эти мультиплексоры доступны в виде ИС с различными конфигурациями входа и выбора линии. Некоторые из доступных мультиплексоров IC включают 74157 (Quad 2-to-1 MUX), 78158 (Quad 2-to-1 MUX с инверсным выходом), 74153 (4-to-1 MUX), 74152 (8-to-1 MUX ) и 74150 (мультиплексор 16: 1).

Мультиплексор 2-к-1

Мультиплексор 2-к-1 состоит из двух входов D0 и D1, одного входа выбора S и одного выхода Y. В зависимости от сигнала выбора выход подключается к любому из входов.Поскольку имеется два входных сигнала, возможны только два способа подключения входов к выходам, поэтому для выполнения этих операций требуется один выбор.

Если линия выбора имеет низкий уровень, тогда выход будет переключен на вход D0, тогда как если линия выбора имеет высокий уровень, то выход будет переключен на вход D1. На рисунке ниже показана блок-схема мультиплексора 2-к-1, который подключает два 1-битных входа к общему назначению.

Таблица истинности мультиплексора 2: 1 показана ниже.В зависимости от значения выбранного входа, входы, то есть D0, D1, производятся на выходах. Выходной сигнал — D0, когда значение Select равно S = 0, а выходное значение — D1, когда значение Select равно S = 1.

«X» в приведенной выше таблице истинности обозначает состояние безразличия. Таким образом, игнорируя условия безразличия, мы можем вывести логическое выражение типичного мультиплексора 2 к 1 следующим образом:

Y = SD0 + SD1

Из вышеприведенного выходного выражения логическая схема 2-к-1 мультиплексор может быть реализован с использованием логических вентилей, как показано на рисунке.Он состоит из двух ворот И, одного входа НЕ и одного входа ИЛИ. Когда линия выбора S = 0, выход нижнего логического элемента И равен нулю, но выход верхнего логического элемента И равен D0. Таким образом, выход, генерируемый логическим элементом ИЛИ, равен D0.

Аналогично, когда S = 1, выход верхнего логического элемента И равен нулю, но выход нижнего логического элемента И равен D1. Следовательно, выход логического элемента ИЛИ — D1. Таким образом, данная схема удовлетворяет приведенному выше логическому выражению.

Чтобы эффективно использовать кремний, производители ИС изготавливают несколько мультиплексоров в одной ИС.Обычно четыре мультиплексора 2 линии на 1 производятся в одной ИС. Некоторые из популярных микросхем мультиплексоров 2 к 1 включают IC 74157 и IC 74158.

Обе эти микросхемы являются четырехъядерными мультиплексорами 2 к 1. В то время как IC 74157 имеет нормальный выход, IC74158 имеет инвертированный выход. Есть только одна строка выбора, которая управляет входными линиями на выход во всех четырех мультиплексорах.

Выход Y0 может быть A0 или B0 в зависимости от состояния выбранной строки. Точно так же Y1 может быть A1 или B1, Y2 может быть A2 или B2 и так далее.Имеется дополнительный вход управления Strobe или Enable E / Strobe, который включает и отключает все мультиплексоры, т. Е. Когда E = 1, выходы всех мультиплексоров равны нулю независимо от значения S.

Все мультиплексоры работают. активируется только тогда, когда на входе E / Strobe установлено значение LOW.

Мультиплексор 4-к-1

Мультиплексор 4-к-1 состоит из четырех линий ввода данных от D0 до D3, двух строк выбора как S0 и S1 и одной выходной линии Y. Строки выбора S0 и S1 выбирают одну из четыре входные линии для подключения выходной линии.На рисунке ниже показана блок-схема мультиплексора 4-к-1, в котором мультиплексор декодирует вход через строку выбора.

Таблица истинности мультиплексора 4-к-1 показана ниже, в которой четыре комбинации входов 00, 10, 01 и 11 в строках выбора соответственно переключают входы D0, D2, D1 и D3 на выход. Это означает, что когда S0 = 0 и S1 = 0, выход Y равен D0, аналогично Y является D1, если входы выбора S0 = 0 и S1 = 1, и так далее.

9018 9018 9018 902 902 902 902 X
S0 S1 D0 D1 D2 D3 X X X 0
0 0 1 X X X 1
1 1 X 0
0 1 X 1 X X 1
1 0 X 0
1 0 X X 1 X 1
1 1 X X X 0 0
1 1 X X X 1 1
выходные выражения выглядят следующим образом:

Y = S0 S1 D0 + S0 S1 D1 + S0 S1 D2 + S0 S1 D3

Из вышеприведенного выражения вывода можно реализовать мультиплексор 4-к-1 с использованием основных логических элементов .На рисунке ниже показана логическая схема мультиплексора 4: 1, которая реализована четырьмя вентилями И с 3 входами, двумя вентилями НЕ с 1 входом и одним вентилем ИЛИ с 4 входами.

В этой схеме каждая линия ввода данных подключена в качестве входа к логическому элементу И, а две линии выбора подключены к нему в качестве других двух входов. Кроме того, имеется также сигнал включения. Выход всех логических элементов И соединен с входами логического элемента ИЛИ для получения выхода Y.

Как правило, этот тип мультиплексоров доступен в ИС с двойным режимом i.е., в одной ИС будет два мультиплексора 4-к-1. Наиболее распространенным и популярным мультиплексором линии 4 к 1 является IC 74153, который представляет собой двойной мультиплексор линии 4 к 1. Он состоит из двух одинаковых мультиплексоров 4-к-1. Он имеет два отдельных входа включения или выключения для включения или выключения отдельных мультиплексоров. Но линии выбора являются общими для обоих мультиплексоров.

Обычно разрешающий вход или строб можно использовать для каскадирования двух или более ИС мультиплексора для создания мультиплексора с большим количеством входов.Каждый умножитель снабжен отдельными входами. На рисунке ниже показана схема контактов IC74153.

Мультиплексор 8-к-1

Мультиплексор 8-к-1 состоит из восьми входов данных с D0 по D7, трех линий выбора входа с S0 по S2 и одной выходной линии Y. В зависимости от комбинаций линий выбора мультиплексор выбирает входы.

На рисунке ниже показана блок-схема мультиплексора 8-к-1 с входом разрешения, который может включать или отключать мультиплексор.Поскольку количество битов данных, передаваемых мультиплексору, равно восьми, то для выбора одного из восьми битов данных необходимы 3 бита (2 3 = 8).

Таблица истинности для мультиплексора 8-к1 приведена ниже с восемью комбинациями входов, чтобы генерировать каждый выход, соответствующий входу.

Например, если S2 = 0, S1 = 1 и S0 = 0, то выходные данные Y равны D2. Точно так же выходы данных с D0 по D7 будут выбираться с помощью комбинаций S2, S1 и S0, как показано на рисунке ниже.

90 319 0

0

902 9032 903 319 X 902 0
S0 S1 S2 D0 D1 D2 9196 D2 9196 9325

903 9196 9322

D6

D7 Y
0 0 0 0 X X X 9019 X 9019 9032 903 0
0 0 0 1 X X X X X X X 1
X 0 X X X X X X 0
0 1 X 1 X X X X X X 1
1 1 0 X X X X X 0
0 1 0 X X X 9032 902 X 9032 9032 X 9032 X X 1
0 1 1 X X X 0 X X X 9032 0 1 1 X X X 1 X X X X 1
1 0 X X X 0 X X X 0
1 0 0 X X X 1 X X X 1
1 0 1 X X X X X 9032 X 9032 9032 X 9032 0
1 0 1 X X X X X 1 X X 1 1 1 1 X X X X X X 0 X 0
1 1 0 X 0 0 319 X X X X 1 X 1
1 1 1 X X X X X X 0 0
1 1 1 X X X X X X X 1

Из приведенной выше таблицы истинности логическое уравнение для выхода имеет следующий вид:

Y = S0 S1 S2 D0 + S0 S1 S2 D1 + S0 S1 S2 D2 + S0 S1 S2 D3 + S0 S1 S2 D4 + S0 S1 S2 D5 + S0 S1 S2 D6 + S0 S1 S2 D7

Из приведенного выше булевого уравнения логическая схема мультиплексора 8-к-1 может быть реализована с использованием 8 вентилей И, 1 вентилей ИЛИ и 7 вентилей НЕ, как показано ниже. фигура.В схеме, когда контакт включения установлен в единицу, мультиплексор будет отключен, а если он равен нулю, то строки выбора выберут соответствующий вход данных для прохождения через выход.

IC 74151 — это популярная ИС мультиплексора 8: 1 с восемью входами и двумя выходами. Два выхода — активный низкий и активный высокий. Он имеет три линии выбора A, B и C и один активный вход разрешения низкого уровня. Распиновка этой ИС приведена ниже.

8-к-1 мультиплексора с использованием 4-к-1 мультиплексора и 2-к-1 мультиплексора

Если вы наблюдаете логическое выражение мультиплексора 8-к-1, показанное выше, мы можем переписать его следующим образом :

Y = S0 S1 S2 D0 + S0 S1 S2 D1 + S0 S1 S2 D2 + S0 S1 S2 D3 + S0 S1 S2 D4 + S0 S1 S2 D5 + S0 S1 S2 D6 + S0 S1 S2 D7

Y = S0 ( S1 S2 D0 + S1 S2 D1 + S1 S2 D2 + S1 S2 D3) + S0 (S1 S2 D4 + S1 S2 D5 + S1 S2 D6 + S1 S2 D7)

Выражение в первой скобке i.е., S1 S2 D0 + S1 S2 D1 + S1 S2 D2 + S1 S2 D3 аналогично логическому выражению мультиплексора 4 к 1 с D0, D1, D2 и D3 в качестве входов и S1 и S2 в качестве строк выбора. Пусть это выражение будет P1.

Точно так же выражение во второй скобке, т. Е. S1 S2 D4 + S1 S2 D5 + S1 S2 D6 + S1 S2 D7 аналогично логическому выражению другого мультиплексора 4 к 1 с D4, D5, D6 и D7 как входы и S1 и S2 как линии выбора. Пусть это выражение будет P2.

Теперь, заменяя приведенные выше выражения на P1 и P2, мы получаем,

S0 P1 + S0 P2

Это выражение похоже на мультиплексор 2 к 1 с P1 и P2 (где P1 и P2 являются выходами соответствующие мультиплексоры 4-в-1) в качестве входов и S0 в качестве сигнала выбора.Итак, наконец, мы можем сделать вывод, что мультиплексор 8-к-1 может быть реализован с использованием двух мультиплексоров 4-к-1 и одного мультиплексора 2-к-1. Блок-схема этого же показана ниже:

Мультиплексор 16-к-1

Все мультиплексоры более высокого порядка, такие как 8-к-1, 16-к-1 и т. Д., Могут быть реализованы с использованием мультиплексоров более низкого порядка. Но тем не менее, давайте кратко рассмотрим мультиплексор 16: 1. IC 74150 — это популярная ИС мультиплексора 16: 1. Входами мультиплексора 16: 1 являются D0, D1, D2 и т. Д. До D15.Поскольку он имеет 16 входных линий, будет 4 строки выбора, а именно S0, S1, S2 и S3.

На следующем рисунке показана блок-схема типичного мультиплексора 16: 1.

Упрощенная таблица истинности для мультиплексора 16 × 1 показана в следующей таблице.

903 903 903 0 9034 9034 903
S0 S1 S2 S3 Y
0
0 0 0 1 D1
0 0 1 0 D2
0 0 1 1 0 0 D4
0 1 0 1 D5
0 1 0 1 1 1 D7
1 0 0 0 D8
1 0 0 1 D9
1 0 1 0 D10
1 1 1 1 1 1 1
1 1 0 0 D12
1 1 0 1 D13
3 1 1
1 1 1 1 D15

Логическое выражение мультиплексора 16: 1 выглядит следующим образом:

Y = S0 S2 S1 S2 S3 D0 + S0 S1 D1 + S0 S1 S2 S3 D2 + S0 S1 S2 S3 D3 + S0 S1 S2 S3 D4 + S0 S1 S2 S3 D5 + S0 S1 S2 S3 D6 + S0 S1 S2 S3 D7 + S0 S1 S2 S3 D8 + S0 S1 S2 S3 D9 + S0 S1 S2 S3 D10 + S0 S1 S2 S3 D11 + S0 S1 S2 S3 D12 + S0 S1 S2 S3 D13 + S0 S1 S2 S3 D14 + S0 S1 S2 S3 D15

На следующем рисунке показана логическая схема мультиплексора 16: 1.

Подобно мультиплексору 8-к-1, мы можем реализовать мультиплексор 16-к-1, используя мультиплексоры более низкого порядка, такие как 8-к-1, 4-к-1 и 2-к-1. На следующем изображении показана блок-схема мультиплексора 16-к-1, реализованного с использованием двух мультиплексоров 8-к-1 и одного мультиплексора 2-к-1.

Кроме того, мы можем реализовать отдельные мультиплексоры 8-к-1 на изображении выше, используя два мультиплексора 4-к-1 и один мультиплексор 2-к-1.

Применение мультиплексора

Во всех типах приложений цифровых систем мультиплексоры находят широкое применение.Поскольку они позволяют подключать несколько входов независимо к одному выходу, мультиплексоры используются в различных приложениях, включая маршрутизацию данных, генераторы логических функций, управляющие секвенсоры, преобразователи параллельного ввода в последовательные и т. Д.

Маршрутизация данных

Мультиплексоры широко используются используется в приложениях маршрутизации данных для маршрутизации данных в один конкретный пункт назначения из одного из нескольких источников. Одно из приложений включает отображение двух многозначных счетчиков BCD, по одному за раз.В таком приложении микросхемы мультиплексора 74157 используются для выбора и отображения содержимого любого из двух счетчиков BCD с использованием набора декодеров и светодиодных дисплеев.

Генератор логических функций

Вместо логических вентилей можно сгенерировать логическое выражение с помощью мультиплексора. Можно подключить мультиплексор так, чтобы он дублировал логику любой таблицы истинности. В таких случаях он может генерировать булеву алгебраическую функцию набора входных переменных.

Это резко уменьшает количество логических вентилей или интегральных схем для выполнения логической функции, поскольку мультиплексор представляет собой единую интегральную схему.В таких приложениях мультиплексоры рассматриваются как генераторы логических функций.

Например, рассмотрим приведенную ниже логическую схему для реализации функции «экс-ИЛИ» трех входов. В этом логическом генераторе используется мультиплексор «8 к 1» 74151A. Этот мультиплексор работает точно так же, как набор логических вентилей, реализующих ту же функцию.

Выход F равен 1 для входов данных D1, D2, D5 и D6, которые выбираются путем установки строк выбора на 001, 010, 100 и 111 соответственно.

Параллельное преобразование в последовательное

Схема мультиплексора может использоваться для преобразования параллельных данных в последовательные данные, чтобы уменьшить количество параллельных шин путем их преобразования в последовательные сигналы.Этот тип преобразования необходим в телекоммуникационных, контрольно-измерительных, военных / аэрокосмических приложениях, а также в приложениях для передачи данных.

В основном в цифровых системах данные обрабатываются параллельно для достижения более высоких скоростей. Но для передачи сигналов данных на большие расстояния нам нужно большее количество линий. В таких случаях параллельные данные преобразуются в последовательную форму с помощью мультиплексоров.

На рисунке ниже показано преобразование данных из параллельного в последовательный с использованием 8-входного мультиплексора.Параллельные данные из данных в или каком-либо другом регистре подаются на 8 входных линий мультиплексора.

Коды выбора для мультиплексора генерируются 3-битным счетчиком. При подаче каждого тактового импульса на счетчик данные последовательно выводятся из мультиплексора.

Другие применения мультиплексоров включают в себя управляющие секвенсоры, генераторы последовательности импульсов, кодеры, регистр для регистрации передачи данных, генераторы сигналов и т. Д.

Заключение

Полное руководство по мультиплексору (MUX) и мультиплексированию.Вы изучили основы мультиплексирования, мультиплексора, различных типов широко используемых мультиплексоров, таких как 2: 1 MUX, 4: 1 MUX, 8: 1 MUX и 16: 1 MUX, их логических выражений, логических схем, а также пару важных приложений мультиплексоров. .

Что такое мультиплексор, как он работает и схема мультиплексора

Термин «мультиплексор », который также обычно называют « MUX » или « MPX », относится к выбору одного выхода из множества доступных входов. Профессор Шанкар Балачандран (IIT-M) объясняет мультиплексирование как метод передачи большого количества информационных единиц по небольшому количеству каналов или линий, а цифровой мультиплексор — это комбинационная логическая схема, которая выбирает двоичную информацию из одного из множества входов. строк и направляет его на одну строку вывода.

В этой статье мы узнаем , как работают эти мультиплексоры, как разработать их для нашего проекта, а также опробуем практический пример на макете , чтобы проверить работу оборудования.

Основы мультиплексоров:

Лучший способ понять мультиплексоры — это посмотреть на однополюсный многопозиционный, как показано ниже. Здесь коммутатор имеет несколько входов D0, D1, D2 и D3, но имеет только один вывод выхода (Out). Ручка управления используется для выбора одного из четырех доступных данных, и эти данные будут отражены на стороне вывода.Таким образом, пользователь может выбрать требуемый сигнал среди множества доступных сигналов.

Это простой пример механического мультиплексора. Но в электронной схеме, которая включает в себя высокоскоростное переключение и передачу данных, мы должны иметь возможность очень быстро выбирать требуемый вход с помощью цифровых схем. Управляющие сигналы (S1 и S0) делают то же самое, они выбирают один вход из множества доступных на основе подаваемого им сигнала. Таким образом, тремя основными и минимальными терминами на любом мультиплексоре будут входные входные контакты, выходные контакты и управляющий сигнал

.

Входные контакты: Это доступные сигнальные контакты, из которых необходимо выбрать один.Эти сигналы могут быть цифровыми или аналоговыми.

Выходной контакт: Мультиплексор всегда будет иметь только один выходной контакт. Сигнал выбранного входного контакта будет обеспечиваться выходным контактом.

Вывод управления / выбора: Выводы управления используются для выбора входного сигнала вывода. Количество контактов управления на мультиплексоре зависит от количества входных контактов. Например, мультиплексор с 4 входами будет иметь 2 сигнальных контакта.

Для понимания рассмотрим мультиплексор с 4 входами, показанный выше.Он имеет два управляющих сигнала, с помощью которых мы можем выбрать одну из четырех доступных входных линий. В приведенной ниже таблице истинности показано состояние контактов управления (S0 и S1) для выбора необходимого входного контакта.

Теперь, когда мы поняли основы мультиплексоров, давайте взглянем на мультиплексоры с 2 входами и мультиплексоры с 4 входами, которые наиболее часто используются в схемах приложений.

Мультиплексоры с 2 входами:

Как следует из названия, для мультиплексора с 2 входами у нас будет 2 линии входа и одна линия выхода .Также у него будет только один контрольный вывод для выбора между двумя доступными входными выводами. Графическое представление мультиплексора 2: 1 показано ниже.

Здесь входные контакты обозначены как D0 и D1, а выходной контакт назван как out. Пользователь может выбрать один из входов D0 или D1, используя контрольный вывод S0. Если S0 поддерживается на низком уровне (логический 0), то вход D0 будет отражаться на выходном контакте, а если вход S0 остается на высоком уровне (логическая 1), то вход D1 будет отражаться на выходном контакте.Таблица истинности, представляющая то же самое, показана ниже

.

Как видно из таблицы выше, когда управляющий сигнал S0 равен 0, выход отражает значения сигнала D0 (выделен синим), и аналогично, когда управляющий сигнал S0 равен 1, выход отражает значения сигнала D1 (выделено в красный). Есть несколько специализированных пакетов IC, которые будут работать как мультиплексоры прямо из пакета, но, поскольку мы пытаемся понять конструкции комбинационной логики, давайте создадим вышеупомянутый мультиплексор с 2 входами, используя логические элементы.Схема логической схемы для 2-входного мультиплексора показана ниже

.

Логическая схема использует только логические элементы NAND и, следовательно, может быть легко построена на монтажной плате или даже на макете. Логическое выражение для логической диаграммы может быть задано как

.
  Out = S  0  '.D  0 ' .D  1  0  '.D  0  .D  1  + S  0  .D  0  .D  1  '+ S  0  0 . Д  1   

Мы можем дополнительно упростить это логическое выражение, исключив общие термины, так что логическая диаграмма станет намного проще и удобнее для построения. Ниже приводится упрощенное логическое выражение.

  Выход = S  0  ’.D  0  + S  0  .D  1   

Мультиплексоры высшего порядка (мультиплексор 4: 1):

Как только вы поймете принцип работы мультиплексора 2: 1, вам будет легко понять и мультиплексор 4: 1. Просто у него будет 4 входных контакта и 1 выходной контакт с двумя линиями управления . Эти две линии управления могут формировать 4 разных комбинационных логических сигнала, и для каждого сигнала будет выбран один конкретный вход.

Количество управляющих линий для любого мультиплексора можно найти с помощью следующих формул

  2  Количество управляющих линий  = Количество входных линий  

Так, например, мультиплексор 2: 1 будет иметь 1 линию управления, потому что 2 1 = 2, а мультиплексор 4: 1 будет иметь 2 линии управления, потому что 2 2 = 4.Аналогичным образом вы можете рассчитать для любых мультиплексоров более высокого порядка.

Также распространено объединение с мультиплексорами более низкого порядка, такими как 2: 1 и 4: 1 MUX, для формирования мультиплексора более высокого порядка, такого как мультиплексор 8: 1. Теперь, например, давайте попробуем реализовать мультиплексор 4: 1, используя мультиплексор 2: 1. Чтобы построить мультиплексор 4: 1 с использованием мультиплексора 2: 1, нам нужно объединить три мультиплексора 2: 1 вместе.

Конечный результат должен дать нам 4 входных контакта, 2 контакта управления / выбора и один выходной контакт. Для достижения первых двух MUX подключаются параллельно, а затем выход этих двух подается как вход на 3 rd MUX, как показано ниже.

Линия управления / выбора первых двух MUX соединяется вместе, образуя единую линию (S 0 ), а затем линия управления 3 rd MUX используется в качестве второго сигнала управления / выбора. Таким образом, мы получаем мультиплексор с четырьмя входами (W0, W1, W2 и W3) и только одним выходом (f). Таблица истинности для мультиплексора 4: 1 показана ниже.

Как вы можете видеть в таблице выше, для каждого набора значений, предоставленных контактам сигнала управления (S0 и S1), мы получаем разные выходные данные от входных контактов на нашем выходном контакте.Таким образом, мы можем использовать MUX для выбора одного из четырех доступных входных контактов для работы. Обычно эти управляющие контакты (S0 и S1) управляются автоматически с помощью цифровой схемы. Существуют определенные специализированные микросхемы, которые могут действовать как MUX и облегчать нам работу, поэтому давайте взглянем на них.

Практическая реализация мультиплексора с использованием IC 4052:

Всегда интересно строить и проверять вещи на практике, чтобы теория, которую мы изучаем, имела больше смысла.Итак, давайте построим схему мультиплексора 4: 1 и проверим, как она работает. Здесь мы используем микросхему MC14052B , внутри которой расположены два мультиплексора 4: 1. Распиновка MC14052B показана ниже

.

Здесь контакты X0, X1, X2 и X3 — это четыре входных контакта, а контакт X — соответствующий ему выходной контакт. Управляющие контакты A и B используются для выбора требуемого входа на выходной контакт. Вывод Vdd (вывод 16) должен подключаться к напряжению питания, равному + 5В, а выводы Vss и Vee должны быть заземлены.Вывод Vee предназначен для включения, который является активным нижним выводом, поэтому мы должны заземлить его, чтобы включить эту микросхему. MC14052 является аналоговым мультиплексором, что означает, что на входные контакты также может подаваться переменное напряжение, и то же самое можно получить через выходные контакты. На изображении в формате GIF показано, как ИС выдает переменное входное напряжение на основе предоставленных управляющих сигналов. Входные контакты имеют напряжение 1,5 В, 2,7 В, 3,3 В и 4,8 В, которое также получается на выходном контакте на основе заданного управляющего сигнала.

Мы также можем собрать эту схему на макетной плате и проверить, работают ли они.Для этого я использовал две кнопки, которые являются входами для управляющих контактов A и B. И использовал серию комбинаций делителей потенциала, чтобы обеспечить переменное напряжение для контактов 12, 14, 15 и 11. Выходной контакт 13 подключен к ВЕЛ. Переменное напряжение, подаваемое на светодиод, заставляет его изменять яркость в зависимости от управляющих сигналов. Схема мультиплексора после сборки будет выглядеть примерно так: ниже

Полное рабочее видео схемы мультиплексора также можно найти внизу этой страницы.Надеюсь, вы поняли, как работают мультиплексоры, и знаете, где их использовать в своих проектах. Если у вас есть какие-либо мысли или сомнения, оставьте их в разделе комментариев ниже, и я постараюсь на них ответить. Вы также можете использовать форумы, чтобы разрешить свои технические сомнения и поделиться своими знаниями с другими членами этого сообщества.

Мультиплексор

(MUX) — WikiChip

Мультиплексор
Типичный символ (2: 1 MUX)
Функциональные
Таблица истинности
2: 1 мультиплексор
Входы Выходы
Sel A Б Q
0 0 Х 0
0 1 Х 1
1 Х 0 0
1 Х 1 1

Мультиплексор ( мультиплексор ) или селектор данных или Селектор входов — это устройство комбинационной схемы, которое выбирает один из входов N и предоставляет его на своем выходе.Набор входных данных, называемых строками выбора, определяет, какой вход следует передать на выход. Для мультиплексора 2: 1 (два к одному), когда sel равно 0, q = a, а когда sel равно 1, q = b. Мультиплексору с 2 входными линиями N требуется N строк выбора.

Мультиплексоры полезны в любом приложении, в котором данные должны быть выбраны из нескольких источников в один пункт назначения. Мультиплексоры также активно используются в операциях ввода-вывода, шинах данных и файлах регистров. Кроме того, мультиплексоры также нашли применение в различных других схемах, таких как сумматоры.

Описание [править]

Мультиплексор — это устройство, которое принимает несколько входных сигналов от обычно разных источников. Затем используется набор строк выбора, чтобы выбрать, какие из этих входных данных будут производиться как выходные. Сигналы к выбранным линиям обычно поступают от блока управления, который определяет, какой из сигналов, если таковой имеется, должен быть направлен в какое-либо место назначения. Мультиплексоры являются основными компонентами большинства цифровых систем, поскольку их можно использовать для передачи правильного сигнала на основе некоторой условной логики.Например, рассмотрим шину данных, которая подключена к нескольким блокам памяти. Можно использовать мультиплексор, чтобы выбрать, какие из этих линий должны идти на общую шину данных.

Enable / Tri-State [редактировать]

Часто желательно добавить к мультиплексору вход разрешения (или строба) EN . Вход разрешения заставляет мультиплексор работать. Когда EN = 0 , выходное значение будет High-Z или, реже, LOW (в зависимости от конкретного устройства). Когда EN = 1 , мультиплексор выполняет свою работу в зависимости от строки выбора.

Мультиплексоры с тремя состояниями — это мультиплексоры, которые не устанавливают НИЗКИЙ уровень, когда разрешение равно 0, а вместо этого переходят в состояние с высоким Z. Эти типы мультиплексоров могут быть подключены непосредственно к общей шине, гарантируя, что только один сигнал генерируется на шине в любой момент времени.

Для мультиплексора с входами Equationupper N вам также потребуется Equationleft журнала потолка Нижний индекс 2 Базовая линия левая скобка N верхняя правая скобка правые строки выбора потолка. Это означает, что для мультиплексоров с нечетным числом входов некоторые комбинации строк выбора недопустимы (например,грамм. в мультиплексоре 3: 1 комбинация SEL = 11 не допускается). Мультиплексоры в форме Equation2 Верхний индекс N: 1 может быть выражен как

EquationMUX левая скобка верхняя I 0 запятая период период запятая верхняя I Нижний индекс k Базовая линия, правая скобка равняется сигма-суммированию Нижний индекс k равен 0 Верхний индекс 2 Верхний индекс n Базовый уровень минус 1 Конечный текст m Нижний индекс k Базовый верхний индекс I нижний индекс k Исходный

Где Equationm Нижний индекс k — это k-й член переменной.

Для мультиплексоров на 2, 4 и 8 входов уравнения имеют следующий вид:

EquationStartLayout 1-я строка 1-й столбец MUX 21 левая скобка a запятая b правая скобка 2-й столбец равен левой скобке верхняя A верхняя S 0 верхняя правая скобка плюс левая скобка верхняя B верхняя S 0 правая скобка 2-я строка 1-й столбец MUX 41 левая скобка a запятая b запятая c запятая d правая скобка 2-й столбец равен левой скобке верхний A ModifyingAbove upper S 0 верхний S 1 С полосой правая скобка плюс левая скобка верхняя B верхняя S 0 верхняя черта верхняя S 1 правая скобка плюс левая скобка верхняя C верхняя S 0 верхняя S 1 верхняя черта правая скобка плюс левая скобка верхняя D верхняя S 0 верхняя S 1 правая скобка 3-я строка 1-й столбец MUX 81 левая скобка a запятая b запятая c запятая d запятая e запятая f запятая g правая скобка 2-й столбец соответствует верхней левой скобке A Изменение над верхним S 0 верхнее S 1 верхнее S 2 С полосой правой круглой скобки плюс левой скобкой вверху B Изменение над верхней S 0 верхней S 1 С полосой вверху S 2 правая скобка плюс левый родитель hesis верхний C верхний S 0 верхний штрих верхний S 1 верхний S 2 верхний штрих правая скобка плюс левая скобка верхний D верхний S 0 верхний штрих верхний S 1 верхний S 2 правая скобка плюс левая скобка верхний E верхний S 0 модифицирующий над верхним S 1 верхний S 2 С полосой в правой скобке плюс левой скобкой вверху F верхняя S 0 верхняя S 1 верхняя S 2 Верхняя черта правая скобка плюс левая скобка верхняя G верхняя S 0 верхняя S 1 верхняя S 2 правая скобка EndLayout

Реализации [править]

Существует множество различных вариантов мультиплексоров.Обычно более крупные умножители (более 8 или 16 входов) строятся с использованием меньших умножителей с использованием дерева мультиплексоров.

2: 1 MUX (MUX21) [редактировать]

2: 1 мультиплексор
Sel A Б Q
0 0 Х 0
0 1 Х 1
1 Х 0 0
1 Х 1 1
K-карта мультиплексора 2: 1.

Простейшим мультиплексором является 2: 1 MUX (или MUX21 ), который просто выбирает свой выход только из двух возможных входов. Поэтому его строки выбора состоят из одного бита. Таблица истинности представлена ​​справа.


K-карта для этой таблицы истинности представлена ​​слева. Отсюда можно получить сумму minterms и логическую функцию для MUX 2: 1.

Уравнение-суммирование m левая скобка 3 запятая 4 запятая 6 запятая 7 правая скобка равна левой скобке верхняя A логическая и верхняя S верхняя черта правая скобка логическая или левая скобка верхняя B логическая и верхняя S правая скобка
CMOS [править]

Очень быстрое и компактное устройство PTL на основе CMOS, 2: 1 MUX может быть построено с использованием двух передающих вентилей, как показано ниже.Верхний шлюз передачи контролирует, должен ли вход от A проходить на выход, а нижний шлюз передачи делает то же самое для входа B . Один инвертор используется для инвертирования значения строки выбора на один из ворот, так что только один из них (например, вход A или вход B) может пройти. Хотя этот мультиплексор в целом меньше, он также не восстанавливается.

MUX 2: 1 также может быть построен с использованием логического элемента AOI222.

3: 1 MUX [редактировать]

4: 1 Mux [править]

4: 1 мультиплексор
Sel <1> Sel <0> I <0> I <1> I <2> I <3> Q
0 0 0 Х Х Х 0
0 0 1 Х Х Х 1
0 1 Х 0 Х Х 0
0 1 Х 1 Х Х 1
1 0 Х Х 0 Х 0
1 0 Х Х 1 Х 1
1 1 Х Х Х 0 0
1 1 Х Х Х 1 1

A 4: 1 Мультиплексор — это обычный мультиплексор, который выбирает один вход из 4 и подключает его к своему выходу на основе 2-битной строки выбора.Есть много способов создать мультиплексор 4: 1, одна из возможностей — использовать мультиплексор 2: 1, как показано ниже:

В качестве альтернативы, мультиплексор 4: 1 может быть построен из базовых вентилей. Его функция показана ниже:

Q = Уравнение левая скобка верхняя логическая A и верхняя верхняя черта S Нижний индекс 0 Базовая линия, логическая и верхняя S верхняя черта Нижний индекс 1 Базовая линия, правая скобка, логическая или левая скобка, верхняя B, логическая и верхняя S 0, логическая, и верхняя S, верхняя черта Нижний индекс 1 Базовая линия, правая скобка, логическая, или левая скобка, верхняя C, логическая, и верхняя S, верхняя черта Нижний индекс 0 Базовая линия, логическая, и верхняя S 1, правая скобка, логическая, или левая скобка, верхняя D, логическая, и верхняя S 0, логическая, и верхняя S 1 правая скобка

Где A, B, C и D — четыре входа.Q — это выход.

CMOS [править]

8: 1 MUX [редактировать]

Большие мультиплексоры [править]

Основная статья: Дерево мультиплексора

Мультиплексоры обычно бывают нескольких распространенных размеров. Даже в конструкции ASIC не всегда предлагаются мультиплексоры произвольного размера. Большие мультиплексоры всегда можно построить из набора более мелких. Рассмотрим регистровый файл с 32 регистрами, в котором мы хотим выбрать только один регистр в любой момент времени.Такой мультиплексор может быть выполнен из четырех мультиплексоров 8: 1.

дискретных микросхем [править]

Различные мультиплексоры доступны в виде дискретных микросхем как для серий 7400, так и для серий 4000.

микросхемы серии 7400 [править]

Номер устройства Имя Описание
74150 16: 1 мультиплексор Выход инвертирован входом
74151 8: 1 мультиплексор Выход инвертирован входом
74151A 8: 1 мультиплексор Выход инвертирован входом
74152 8: 1 мультиплексор Дополнительные выходы
74153 Двойной мультиплексор 4: 1 Выход такой же, как вход
74157 Квадратный 2: 1 мультиплексор Выход такой же, как вход
74158 Квадратный 2: 1 мультиплексор Выход инвертирован входом
74257 Квадратный 2: 1 мультиплексор Выход такой же, как и вход, разрешение High-Z
74298 Quad 2: 1 MUX с регистром MUX с защелкой SR
74399 Quad 2: 1 MUX с регистром MUX с защелкой SR
74398 Quad 2: 1 MUX с регистром MUX с защелкой SR / Дополнительные выходы

4000 микросхем [править]

Номер устройства Имя Описание
4512 8: 1 MUX с EN Выход такой же, как и вход, разрешение High-Z
4514 16: 1 MUX с EN / регистром Выход такой же, как вход
4515 16: 1 MUX с EN / регистром Выход инвертирован входом
4539 Двойной мультиплексор 4: 1 Выход такой же, как вход
40257 Quad 2: 1 MUX с EN Дополнительные выходы, High-Z Enable
74151 — 8: 1 Mux [редактировать]

Обычным мультиплексором является мультиплексор 8: 1, который выбирает один из 8 битов входа.74151 — это популярная 16-контактная микросхема DIP, которая реализует мультиплексор 8: 1. Обратите внимание, что реализация ниже — это активный минимум.

Справа — типичная схема 74151, 16-контактная микросхема DIP. Vcc находится на контакте 16, а GND — на контакте 8. Контакты 5 и 6 являются выходами, выход на контакте 6 — это инвертированная версия выхода на контакте 5. Разрешение находится на контакте 7.

8: 1 мультиплексор
Входы Выход
Выбрать Включить
Sel <0> Sel <1> Sel <2> EN квартал Q
X Х Х 1 1 0
0 0 0 0 I <0> I <0>
0 0 1 0 I <1> I <1>
0 1 0 0 I <2> I <2>
0 1 1 0 I <3> I <3>
1 0 0 0 I <4> I <4>
1 0 1 0 I <5> I <5>
1 1 0 0 I <6> I <6>
1 1 1 0 I <7> I <7>

См. Также [править]

Создание мультиплексоров с использованием логических вентилей

Так что же такое мультиплексор, спросите вы? Мультиплексор — это интегральная схема, которая принимает несколько входов и выдает меньшее количество выходов.В данном случае мы стремимся создать мультиплексор 4: 1. Как следует из названия, он принимает четыре входа и выдает ровно один выход, определяемый входом выбора . В зависимости от количества строк ввода может потребоваться одна или несколько строк выбора. Для 2 n входных строк необходимо n выбранных строк. С аппаратной точки зрения это, по сути, самый простой из коммутаторов.

Создание мультиплексора 2: 1

Для начала создадим мультиплексор, имеющий два входа и одну строку селектора.Для входов A и B и выберите строку S, если S равно 0, вход A будет выходом Z. Если S равен 1, B будет выходом Z.

Логическая формула для мультиплексора 2: 1 выглядит так:

 Z = (A ∧ ¬S) ∨ (B ∧ S)
 

Если вы не привыкли к логической алгебре, возможно, будет проще увидеть ее представленную в SQL:

 ВЫБРАТЬ @Z = (A & ~ S) | (B & S)
 

Используя AND A и B с NOT S и S соответственно, мы гарантируем, что будет выведен либо A, либо B.Создавая схему, она выглядит так:

Если мы включим оба входа A и B, но оставим S отключенным, мы увидим, что вход A проходит полностью до выхода Z:

И если мы активируем строку селектора S, B передается на Z в качестве вывода:

Создание мультиплексора 4-к-1

Теперь, когда мы создали простейший мультиплексор, давайте перейдем к мультиплексору 4: 1. Учитывая, что у нас есть 2 2 входов, нам нужны две строки селектора.Логика такая же, как и раньше — комбинируя две строки селектора, мы получаем четыре различных комбинации. Каждая комбинация гарантирует, что одна из входных линий A-D будет проходить через выходную Z. Формула выглядит так:

 Z = (A ∧ ¬S0 ∧ ¬S1) ∨ (B ∧ S0 ∧ ¬S1) ∨ (C ∧ ¬S0 ∧ S1) ∨ (D ∧ S0 ∧ S1)
 

И в SQL:

 ВЫБРАТЬ Z = (A & ~ S0 & ~ S1) | (B & S0 & ~ S1) | (C & ~ S0 & S1) | (D & S0 & S1)
 

Схема почти такая же, как и в мультиплексоре 2 к 1, за исключением того, что мы добавляем все четыре входа и реализуем булеву формулу с 4 входами, где S 0 вверху и S 1 внизу:

Я избавлю вас от демонстрации всех состояний и просто покажу, что все четыре входа активированы, в то время как значение сигнала 0b10 приводит к передаче C в Z в результате:

Объединение двух мультиплексоров 4: 1 в мультиплексор 8: 1

На этот раз я избавлю вас от алгебраической логики, поскольку она следует той же схеме, что и раньше, за исключением того, что она начинает становиться довольно многословной.В следующей схеме я взял точную схему мультиплексора 4 к 1, которую мы создали только что, и превратил ее в интегральную схему (точно так же, как я преобразовал мультиплексор 2 к 1 в интегральную схему). Затем я добавил 8 входов, от A до H, а также три входа селектора, от S0 до S2.

S0 и S1 передаются непосредственно в каждый из мультиплексоров 4-1, независимо от значения S2. Это означает, что оба мультиплексора 4-1 совершенно не осведомлены о существовании S2. Однако, когда выходной сигнал каждого из мультиплексоров 4-1 проходит в мультиплексор 2-1, подключенный к селекторной линии S2, S2 определяет, какой из мультиплексоров 4-1 должен доставить выходной сигнал.

Вот пример, в котором S2 определяет, что выходной сигнал должен поступать от второго (крайнего левого) мультиплексора 4-1, в то время как строки селектора S0 и S1 определяют, что вход должен поступать со второго входа в этом мультиплексоре, то есть вход F проходит через результат Z.

Объединение двух мультиплексоров 8: 1 в мультиплексор 16: 1

Хорошо, давайте на этом остановимся. Используя меньшие мультиплексоры, мы можем продолжать комбинировать их, пока не достигнем желаемого размера.

Марк С.Расмуссен

Я технический директор iPaper, где я работаю с базами данных, формирую код и беру на себя общую техническую и командную ответственность. Я заядлый спикер на пользовательских группах и конференциях. Я люблю жизнь, мотоциклы, фотографию и все техническое. Скажите привет в Твиттере, напишите мне электронное письмо или поищите меня в LinkedIn.

Мультиплексор в цифровой электронике — Javatpoint

Мультиплексор — это комбинационная схема, которая имеет 2 n входных линий и одну выходную линию.Проще говоря, мультиплексор представляет собой комбинационную схему с несколькими входами и одним выходом. Двоичная информация поступает из входных линий и направляется в выходную линию. На основе значений строк выбора один из этих входов данных будет связан с выходом.

В отличие от кодера и декодера, имеется n строк выбора и 2 n входных строк. Итак, всего имеется 2 N возможных комбинаций входов. Мультиплексор также рассматривается как Mux .

Существуют следующие типы мультиплексоров:

Мультиплексор 2 × 1:

В мультиплексоре 2 × 1 есть только два входа, т. Е. A 0 и A 1 , 1 строка выбора, т. Е. S 0 и одиночные выходы, т. Е. Y. На основе комбинации входы, которые присутствуют в строке выбора S 0 , один из этих 2 входов будет подключен к выходу. Блок-схема и таблица истинности мультиплексора 2 × 1 приведены ниже.

Блок-схема:

Таблица истинности:

Логическое выражение термина Y выглядит следующим образом:

Y = S 0 ‘.A 0 + S 0 .A 1

Логическая схема вышеприведенного выражения приведена ниже:

Мультиплексор 4 × 1:

В мультиплексоре 4 × 1 всего четыре входа, то есть A 0 , A 1 , A 2 и A 3 , 2 строки выбора, т.е.е., S 0 и S 1 и один выход, т. е. Y. На основе комбинации входов, которые присутствуют в строках выбора S 0 и S 1 , один из этих 4 входов подключены к выходу. Блок-схема и таблица истинности мультиплексора 4 × 1 приведены ниже.

Блок-схема:

Таблица истинности:

Логическое выражение термина Y выглядит следующим образом:

Y = S 1 ‘S 0 ‘ A 0 + S 1 ‘S 0 A 1 + S 1 S 0 ‘ A 2 + S 1 S 0 A 3

Логическая схема вышеприведенного выражения приведена ниже:

Мультиплексор 8 в 1

В мультиплексоре 8: 1 всего восемь входов, т.е.е., A 0 , A 1 , A 2 , A 3 , A 4 , A 5 , A 6 и A 7 , 3 строки выбора, т.е. S 0 , S 1 и S 2 и один выход, т.е. Y. На основе комбинации входов, которые присутствуют в строках выбора S 0 , S 1, и S 2 , один из этих 8 входов подключен к выходу. Блок-схема и таблица истинности мультиплексора 8 × 1 приведены ниже.

Блок-схема:

Таблица истинности:

Логическое выражение термина Y выглядит следующим образом:

Y = S 0 ‘.S 1 ‘ .S 2 ‘.A 0 + S 0 .S 1 ‘ .S 2 ‘.A 1 + S 0 ‘.S 1 .S 2 ‘ .A 2 + S 0 .S 1 .S 2 ‘.A 3 + S 0 ‘ .S 1 ‘. S 2 A 4 + S 0 .S 1 ‘.S 2 A 5 + S 0 ‘ .S 1 .S 2 .A 6 + S 0 .S 1 .S 3 .A 7

Логическая схема вышеприведенного выражения приведена ниже:

Мультиплексор 8 × 1 с мультиплексором 4 × 1 и 2 × 1

Мы можем реализовать мультиплексор 8 × 1, используя мультиплексор более низкого порядка. Для реализации мультиплексора 8 × 1 нам понадобятся два мультиплексора 4 × 1 и один мультиплексор 2 × 1.Мультиплексор 4 × 1 имеет 2 линии выбора, 4 входа и 1 выход. Мультиплексор 2 × 1 имеет только 1 строку выбора.

Для получения 8 входов данных нам понадобятся два мультиплексора 4 × 1. Мультиплексор 4 × 1 производит один выход. Итак, чтобы получить окончательный результат, нам понадобится мультиплексор 2 × 1. Блок-схема мультиплексора 8 × 1 с использованием мультиплексора 4 × 1 и 2 × 1 приведена ниже.

Мультиплексор 16 к 1

В мультиплексоре 16: 1 всего 16 входов, т.е.например, A 0 , A 1 ,…, A 16 , 4 строки выбора, т.е. S 0 , S 1 , S 2 и S 3 и один выход, т.е. Y. На основе комбинации входов, которые присутствуют в строках выбора S 0 , S 1 и S 2 , один из этих 16 входов будет подключен к выходу. Блок-схема и таблица истинности 16 × 1

Блок-схема:

Таблица истинности:

Логическое выражение термина Y выглядит следующим образом:

Y = A 0 .S 0 ‘.S 1 ‘ .S 2 ‘.S 3 ‘ + A 1 .S 0 ‘.S 1 ‘ .S 2 ‘.S 3 + A 2 .S 0 ‘ .S 1 ‘.S 2 .S 3 ‘ + A 3 .S 0 ‘.S 1 ‘.S 2 .S 3 + A 4 .S 0 ‘ .S 1 .S 2 ‘.S 3 ‘ + A 5 .S 0 ‘.S 1 .S 2 ‘. S 3 + A 6 .S 1 .S 2 .S 3 ‘ + A 7 .S 0 ‘.S 1 .S 2 .S 3 + A 8 .S 0 .S 1 ‘ .S 2 ‘.S 3 ‘ + A 9 .S 0 .S 1 ‘.S 2 ‘ .S 3 + Y 1 0.S 0 .S 1 ‘.S 2 .S 3 ‘+ А 1 1.S 0 .S 1 ‘.S 2 .S 3 + A 1 2 S 0 .S 1 .S 2 ‘.S 3 ‘ + A 1 3.S 0 .S 1 .S 2 ‘.S 3 + A 1 4.S 0 .S 1 .S 2 .S 3 ‘+ A 1 5.S 0 .S 1 .S 2 ‘ .S 3

Логическая схема вышеприведенного выражения приведена ниже:

Мультиплексор 16 × 1 с мультиплексором 8 × 1 и 2 × 1

Мы можем реализовать мультиплексор 16 × 1, используя мультиплексор более низкого порядка.Для реализации мультиплексора 8 × 1 нам потребуются два мультиплексора 8 × 1 и один мультиплексор 2 × 1. Мультиплексор 8 × 1 имеет 3 линии выбора, 4 входа и 1 выход. Мультиплексор 2 × 1 имеет только 1 строку выбора.

Для получения 16 входных данных нам понадобятся два мультиплексора 8 × 1. Мультиплексор 8 × 1 производит один выход. Итак, чтобы получить окончательный результат, нам понадобится мультиплексор 2 × 1. Блок-схема мультиплексора 16 × 1 с использованием мультиплексора 8 × 1 и 2 × 1 приведена ниже.


Мультиплексоры (3:14) | 4.2 Тематические видео | 4.2 Тематические видео | 4 Комбинационная логика | Вычислительные структуры | Электротехника и информатика

Таблица истинности, которую мы использовали в качестве примера, описывает очень полезное комбинационное устройство, называемое мультиплексором 2-к-1.

Мультиплексор, или сокращенно MUX, выбирает одно из двух своих входных значений в качестве выходного значения.

Когда выбранный вход, отмеченный на диаграмме буквой S, равен 0, значение на входе данных D0 становится значением выхода Y.K входов данных.

Например, вот мультиплексор 4-к-1 с 4 входами данных и 2 входами выбора.

Мультиплексоры большего размера могут быть построены из дерева мультиплексоров 2 к 1, как показано здесь.

Чем интересны мультиплексоры?

Один из ответов состоит в том, что они предоставляют очень элегантный и общий способ реализации логической функции.

Рассмотрим мультиплексор 8-к-1, показанный справа.

Три входа — A, B и CIN — используются в качестве трех сигналов выбора для MUX.

Представьте, что три входа образуют 3-битное двоичное число.

Например, когда все они равны 0, MUX выберет вход данных 0, а когда они все 1, MUX выберет вход данных 7 и так далее.

Как упростить реализацию логической функции, показанной в таблице истинности?

Итак, мы подключим входы данных мультиплексора к постоянным значениям, показанным в выходном столбце таблицы истинности.

Значения на входах A, B и CIN заставят MUX выбрать соответствующую константу на входах данных в качестве значения для выхода COUT.

Если позже мы изменим таблицу истинности, нам не придется перепроектировать какую-то сложную схему суммы произведений, мы просто должны изменить константы на входах данных.

Думайте о мультиплексоре как об устройстве поиска по таблице, которое можно перепрограммировать для реализации в данном случае любого уравнения с тремя входами.

Схема такого типа может использоваться для создания различных форм программируемой логики, где функциональность интегральной схемы не определяется во время производства, а устанавливается на этапе программирования, выполняемом пользователем позже.N входов данных.

Они полезны для N до 5 или 6, но для функций с большим количеством входов экспоненциальный рост размера схемы делает их непрактичными.

Неудивительно, что мультиплексоры универсальны, как показывают эти основанные на мультиплексоре реализации для строительных блоков суммы произведений.

alexxlab

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *