Site Loader

Демультиплексор. Принцип работы.

Демультиплексор имеет один информационный вход и несколько выходов. Он представляет собой устройство, которое осуществляет коммутацию входа к одному из выходов, имеющему заданный адрес (номер). На рис. 6.30 показано символическое изображение демультиплексора с четырьмя выходами. Функционирование этого демультиплексора определяется табл. 6.18.

Объединяя мультиплексор с демультиплексором, можно построить устройство, в котором по заданным адресам один из входов подключается к одному из выходов (рис. 6.31). Таким образом, может быть выполнена любая комбинация соединений входов с выходами.

Например, при комбинации значений адресных переменных xl = l, x2 = 0, x3 = 0, x4 = 0 вход D2 окажется подключенным к выходу Y0.

Использование демультиплексора может существенно упростить построение логического устройства, имеющего несколько выходов, на которых формируются различные логические функции одних и тех же переменных.

Заметим, что если на вход демультиплексора подавать константу D = 1, то на выбранном в соответствии с заданным адресом выходе будет лог. 1, на остальных выходах — лог. 0. При этом по выполняемой функции демультиплексор превращается в дешифратор.

Таблица 6.18

Адресные входы

Выходы

A1

A0

Y0

Y1

Y2

Y3

0

0

D

0

0

0

0

1

0

D

0

0

1

0

0

0

D

0

1

1

0

0

0

D

рис 6. 30

рис 6.31

рис 6.32

При необходимости иметь большое число выходов может быть построено демультиплексорное дерево. На рис. 6.32 показано такое дерево, построенное на демультиплексорах с четырьмя выходами. Демультиплексор первого уровня подключает вход D к определенному демультиплексору второго уровня, демультиплексоры второго уровня выбирают нужный выход, куда и передается сигнал с входа D.

  1. RS-триггер. Принцип работы.

RS-триггер асинхронный

 

 

Q(t)

Q(t)

Q(t+1)

Q(t+1)

0

0

0

1

0

1

0

0

1

0

1

0

0

1

0

1

0

1

0

1

1

0

0

1

1

0

0

1

1

0

1

0

1

0

1

0

1

1

0

1

не определено

не определено

1

1

1

0

не определено

не определено

RS-триггер[10][11], или SR-триггер — триггер, который сохраняет своё предыдущее состояние при нулевых входах и меняет своё выходное состояние при подаче на один из его входов единицы.

При подаче единицы на вход S (от англ. Set — установить) выходное состояние становится равным логической единице. А при подаче единицы на вход R (от англ. Reset — сбросить) выходное состояние становится равным логическому нулю. Состояние, при котором на оба входа R и 

S одновременно поданы логические единицы, в простейших реализациях является запрещённым (так как вводит схему в режим генерации), в более сложных реализациях RS-триггер переходит в третье состояние QQ=00. Одновременное снятие двух «1» практически невозможно. При снятии одной из «1» RS-триггер переходит в состояние, определяемое оставшейся «1». Таким образом RS-триггер имеет три состояния, из которых два устойчивых (при снятии сигналов управления RS-триггер остаётся в установленном состоянии) и одно неустойчивое (при снятии сигналов управления RS-триггер не остаётся в установленном состоянии, а переходит в одно из двух устойчивых состояний).

RS-триггер используется для создания сигнала с положительным и отрицательным фронтами, отдельно управляемыми посредством стробов, разнесённых во времени. Также RS-триггеры часто используются для исключения так называемого явления дребезга контактов.

RS-триггеры иногда называют RS-фиксаторами[12].

Условное графическое обозначение асинхронного RS-триггера

RS-триггер синхронный

 

 

 

 Q(t) 

Q(t+1)

0

x

x

0

0

1

1

1

0

0

0

0

1

0

0

1

1

1

0

1

0

0

1

0

1

1

0

1

1

0

0

1

1

1

0

1

1

1

1

1

0

не определено

1

1

1

1

не определено

Схема синхронного RS-триггера совпадает со схемой одноступенчатого парафазного (двухфазного) D-триггера, но не наоборот, так как в парафазном (двухфазном) D-триггере не используются комбинации S=0, R=0 и S=1, R=1.

Алгоритм функционирования синхронного RS-триггера можно представить формулой

где x — неопределённое состояние.

Условное графическое обозначение синхронного RS-триггера

  1. JK-триггер. Принцип работы.

 

 

Q(t)

Q(t+1)

0

0

0

0

0

0

1

1

0

1

0

0

0

1

1

0

1

0

0

1

1

0

1

1

1

1

0

1

1

1

1

0

JK-триггер[18][19] работает так же как RS-триггер, с одним лишь исключением: при подаче логической единицы на оба входа J и K состояние выхода триггера изменяется на противоположное. Вход J (от англ. Jump — прыжок) аналогичен входу S у RS-триггера. Вход K (от англ. Kill — убить) аналогичен входу R у RS-триггера. При подаче единицы на вход J и нуля на вход K выходное состояние триггера становится равным логической единице. А при подаче единицы на вход K и нуля на вход J выходное состояние триггера становится равным логическому нулю. JK-триггер в отличие от RS-триггера не имеет запрещённых состояний на основных входах, однако это никак не помогает при нарушении правил разработки логических схем. На практике применяются только синхронные JK-триггеры, то есть состояния основных входов J и Kучитываются только в момент тактирования, например по положительному фронту импульса на входе синхронизации.

На базе JK-триггера возможно построить D-триггер или Т-триггер. Как можно видеть в таблице истинности JK-триггера, он переходит в инверсное состояние каждый раз при одновременной подаче на входы J и K логической 1. Это свойство позволяет создать на базе JK-триггера Т-триггер, объединив входы J и К[20].

Алгоритм функционирования JK-триггера можно представить формулой

Условное графическое обозначение JK-триггера со статическим входом С

Демультиплексоры

3.3.1. Общие сведения и принцип действия

Демультиплексоры – это управляемый кодом электронный распределитель, который принимает входной сигнал и направляет его на тот выход, десятичный номер которого есть эквивалент двоичного хода на адресных входах. Остальные выходы в этом случае находятся либо в неактивном состоянии, либо в высокоимпедансном (третьем) состоянии.

В семействе КМОП в качестве демультиплексоров, как было рассмотрено выше, применяются мультиплексоры, которые одинаково хорошо работают как мультиплексоры, так и демультиплексоры для передачи аналоговых и цифровых сигналов, поскольку  сигналы  проходят  через  двунаправленные  ключи  WS (рис. 3.5,б). Еще раз напомним, что невозбужденные выходы демультиплексора находятся  в  третьем  состоянии,  поэтому,  для того чтобы на этих выходах действовали нужные логические уровни, следует включать нагрузочный резистор или его эквивалент.

В ТТЛ в качестве демультиплексоров используются дешифраторы.  Например,  дешифратор  K555ИD4  (см.  рис.  1.2) может  быть  использован  не  только  как  дешифратор,  но  и  как двойной демультиплексор с одного канала на четыре (1 → 4) и как демультиплексор с одного канала на восемь (1 → 8).

При организации демультиплексора 1→4 на ИМС K555ИD4

один вход схемы & E1

(& E0 )  может работать как вход данных, а

другой            ( E1 )   –          как      стробирующий,         или      наоборот,       то        есть

демультиплексор может принимать как прямой, так и инверсный код данных.

Демультиплексор 4→1 (см. рис. 1.2) имеет активный низкий

вход    разрешения    Е1        и          вход    данных           Е1,       которым         управляют

адресные входы

A 0 , A 1 .       Функционирование  такой  системы

описывает табл. 3.7.

Таблица 3.7

Входы

Выходы

разр.

данные

адрес

E1

E1

A1

A 0

0.1

1.1

2.1

3.1

1

Х

Х

Х

1

1

1

1

0

1

0

0

0

1

1

1

0

1

0

1

1

0

1

1

0

1

1

0

1

1

0

1

0

1

1

1

1

1

1

0

Х

0

Х

Х

1

1

1

1

При организации демультиплексора 1→8 на ИМС K555ИД4 (см. рис. 1.5) следует стробирующий вход Е использовать как вход

данных           D,        которым         управляют      адресные        входы

Функционирование такой схемы описывает табл. 3.8.

A 0 , A 1, A 2 .

Таблица 3.8

Входы

Выходы

A 2

A1

A 0

D

0

1

2

3

4

5

6

7

0

0

0

0

0

1

1

1

1

1

1

1

0

0

1

0

1

0

1

1

1

1

1

1

0

1

0

0

1

1

0

1

1

1

1

1

0

1

1

0

1

1

1

0

1

1

1

1

1

0

0

0

1

1

1

1

0

1

1

1

1

0

1

0

1

1

1

1

1

0

1

1

1

1

0

0

1

1

1

1

1

1

0

1

1

1

1

0

1

1

1

1

1

1

1

0

Х

Х

Х

1

1

1

1

1

1

1

1

1

Таким образом, дешифраторы ТТЛ могут выполнять функции демультиплексирования.

Каскадирование  демультиплексоров осуществляется согласно рассмотренным выше схемам каскадирования дешифраторов и мультиплексоров.

Материал взят из книги Цифровые интегральные микросхемы (Асмолов Г.И.)

Что такое демультиплексор (Demux)?

В этом уроке мы узнаем об интересной цифровой логической схеме, называемой демультиплексором, также известной как демультиплексор. Узнайте, что такое демультиплексор, каковы различные типы часто используемых конфигураций демультиплексора, таких как 1-к-2, 1-к-4, 1-к-8, а также некоторые приложения демультиплексора.

Схема

Введение

В предыдущем уроке мы узнали о мультиплексорах или просто MUX. Мультиплексоры представляют собой простые комбинационные логические схемы, которые выбирают один из множества входов и пропускают его через один выход. MUX — это устройство, отвечающее за мультиплексирование.

Действие или работа демультиплексора прямо противоположны действию мультиплексора. В отличие от MUX, Demux представляет собой схему «один ко многим». С помощью демультиплексора данные с одного входа могут быть переданы на одну из многих линий выходных данных.

[адсенс1]

Демультиплексоры в основном используются в генераторах булевых функций и схемах декодеров. Демультиплексоры с различной конфигурацией ввода/вывода доступны в виде одиночных интегральных схем (ИС).

Также имеется возможность каскадирования двух или более цепей DEMUX для создания нескольких выходных демультиплексоров. Давайте кратко рассмотрим демультиплексоры и их типы в этом руководстве.

Что такое демультиплексор?

Процесс получения информации с одного входа и передачи ее на один из множества выходов называется демультиплексированием. Если вы помните учебник по мультиплексору, там мы обсуждали концепцию мультиплексирования. Демультиплексирование является полной противоположностью этому.

Демультиплексор представляет собой комбинационную логическую схему, которая получает информацию по одной входной линии и передает ту же информацию по одной из «n» возможных выходных линий.

Чтобы выбрать конкретный выход, мы должны использовать набор линий выбора, и битовые комбинации этих линий выбора управляют выбором конкретной выходной линии, которая будет подключена к входу в данный момент. На приведенном ниже рисунке показана основная идея демультиплексора, в котором в данный момент возможно переключение входа на любой из четырех выходов.

Если мультиплексоры называются селекторами данных, то демультиплексоры называются распространителями данных, поскольку они передают одни и те же данные, полученные на входе, в разные пункты назначения.

Таким образом, демультиплексор — это устройство 1-к-N, а мультиплексор — это устройство N-к-1. На рисунке ниже показана блок-схема демультиплексора или просто DEMUX.

Он состоит из 1 строки ввода, «n» строк вывода и «m» строк выбора. В этом случае требуется m выбранных строк, чтобы произвести 2 м возможных выходных строк (рассмотрите 2 м = n). Например, демультиплексор 1-в-4 требует 2 (2 2 = 4) линий выбора для управления 4 выходными линиями.

Существует несколько типов демультиплексоров, основанных на выходных конфигурациях, таких как 1:2, 1:4, 1:8 и 1:16.

[адсенс2]

Они доступны в различных корпусах ИС, и некоторые из наиболее часто используемых ИС демультиплексоров включают 74139 (двойной демультиплексор 1:4), 74138 (демультиплексор 1:8), 74237 (демультиплексор 1:8 с адресными защелками), 74154 (1 :16 демультиплексор), 74159(1:16 DEMUX с открытым коллектором) и т. д.

ПРИМЕЧАНИЕ: ИС демультиплексора также называются ИС декодера. Например, 74159 — это микросхема декодера с количеством строк от 4 до 16.

Демультиплексор 1-к-2

Демультиплексор 1-к-2 состоит из одной входной линии, двух выходных линий и одной линии выбора. Сигнал на линии выбора помогает переключить вход на один из двух выходов. На рисунке ниже показана блок-схема демультиплексора 1-к-2 с дополнительным разрешающим входом.

На рисунке есть только два возможных способа подключения входных и выходных линий, поэтому для выполнения операции демультиплексирования достаточно только одного сигнала выбора. Когда вход выбора НИЗКИЙ, вход будет передан на Y0, а если вход выбора ВЫСОКИЙ, то вход будет передан на Y1.

Ниже показана таблица истинности демультиплексора 1-к-2, в котором вход направляется на Y0 и Y1 в зависимости от значения выбранного входа S. Д ​​ Y1 Y0 0 0 0 0 0 1 0 1 1 0 0 0 1 1 1 0

Мы можем вывести логические выражения для выходов следующим образом:

Предположим, что S — вход выбора, D — вход данных, а Y0 и Y1 — выходы демультиплексора 1-к-2. Из приведенной выше таблицы выход Y0 активен, когда комбинация линии выбора и входной линии активна при низком и высоком уровне соответственно, т. е. S D = 0 1 .

Следовательно, выражение для выхода Y0 равно

 Y0 = S D

Аналогично, выход Y1 активен, когда комбинация линии выбора и входной линии имеет высокий уровень, т. е.  S D = 1 1 .

Таким образом, выражение для выхода Y0 равно

 Y1 = S D

Из приведенной выше таблицы истинности и полученных логических выражений можно построить логическую схему демультиплексора 1-к-2 с использованием двух вентилей И и одного вентиля НЕ, как показано на рисунке ниже. Когда линия выбора S = 0, первый логический элемент И (A1) включен, а второй логический элемент И (A2) отключен.

Затем данные со входа передаются на выходную линию Y0. Точно так же, когда S = 1, второй логический элемент И (A2) включен, а первый логический элемент И (A1) отключен, поэтому данные передаются на выход Y1.

Демультиплексор 1-к-4

Демультиплексор 1-к-4 имеет один вход (D), две линии выбора (S1 и S0) и четыре выхода (Y0-Y3). Входные данные поступают на любой из четырех выходов в заданное время для конкретной комбинации выбранных строк.

Этот демультиплексор также называется демультиплексором 2-в-4, что означает, что он имеет две линии выбора и 4 линии вывода. Блок-схема демультиплексора 1:4 показана ниже.

Таблица истинности этого типа демультиплексора приведена ниже. Из таблицы истинности видно, что при S0 = 0 и S1 = 0 вход данных подключен к выходу Y0, а при S0 = 0 и s1=1 вход данных подключен к выходу Y1.

Аналогично другие выходы подключаются к входам для двух других комбинаций линий выбора.

С1 С0 Д ​​ Y3 Y2 Y1 Y0
0 0 0 0 0 0 0
0 0 1 0 0 0 1
0 1 0 0 0 0 0
0 1 1 0 0 1 0
1 0 0 0 0 0 0
1 0 1 0 1 0 0
1 1 0 0 0 0 0
1 1 1 1 0 0 0

Из приведенной выше таблицы истинности мы можем вывести логические выражения для выходов следующим образом:

 Y0 = S1 S0 D

 Y1 = S1 S0 D

 Y2 = S1 S0 D

 Y3 = S1 S0 D

Где D — входные данные, Y0–Y3 — выходные строки, а S0 и S1 — строки выбора.

Исходя из приведенных выше логических выражений, демультиплексор 1-в-4 может быть реализован с использованием четырех логических элементов И с 3 входами и двух логических элементов НЕ, как показано на рисунке ниже. Две линии выбора включают конкретный логический элемент И одновременно. Кроме того, имеется вход Enable/Strobe, который действует как глобальный вход разрешения, т. е. выходы активны только тогда, когда бит «E» имеет ВЫСОКОЕ значение.

Таким образом, в зависимости от комбинации выбранных входов входные данные передаются через выбранный логический элемент на соответствующий выход.

Этот тип демультиплексора доступен в форме интегральной схемы как IC 74139. Это одна из наиболее часто используемых ИС демультиплексора, двойная ИС демультиплексора 1-к-4, т. е. она содержит два независимых 1-к-4 блоки демультиплексора в одной ИС. Каждый DEMUX принимает два бинарных входа в качестве линий выбора и четыре взаимоисключающих выхода с активным низким уровнем.

Оба демультиплексора имеют индивидуальный набор линий выбора, поэтому они могут действовать как действительно независимые демультиплексоры. Кроме того, каждый демультиплексор состоит из выделенного вывода включения, который может действовать как ввод данных для работы демультиплексора. Контакты включения активны НИЗКИМ.

Выходы имеют НИЗКИЙ уровень, т. е. по умолчанию они ВЫСОКИЕ. Таким образом, если на выводе Enable установлен ВЫСОКИЙ уровень, все выходы имеют ВЫСОКИЙ уровень, а если на выводе Enable установлен НИЗКИЙ уровень, то в зависимости от выводов выбора только соответствующий выходной контакт становится НИЗКИМ.

Демультиплексор 1-в-8

На приведенном ниже рисунке показана блок-схема демультиплексора 1-в-8, состоящего из одного входа D, трех входов выбора S2, S1 и S0 и восьми выходов от Y0 до Y7.

Он также называется демультиплексором 3-в-8 из-за трех выбранных входных линий и 8 выходных линий. Он распределяет одну входную строку на одну из 8 выходных строк в зависимости от комбинации выбранных входов.

Таблица истинности для демультиплексора 1-в-8 показана ниже. Вход «D» соединен с одним из восьми выходов от Y0 до Y7 на основе выбранных линий S2, S1 и S0.

Например, если S2 S1 S0 = 0 0 0, то вход D соединен с выходом Y0 и так далее.

С2 S1 С0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0
0 0 0 0 0 0 0 0 0 0 Д ​​
0 0 1 0 0 0 0 0 0 Д ​​ 0
0 1 0 0 0 0 0 0 Д ​​ 0 0
0 1 1 0 0 0 0 Д ​​ 0 0 0
1 0 0 0 0 0 Д ​​ 0 0 0 0
1 0 1 0 0 Д ​​ 0 0 0 0 0
1 1 0 0 Д ​​ 0 0 0 0 0 0
1 1 1 Д ​​ 0 0 0 0 0 0 0

Из этой таблицы истинности логические выражения для всех выходов могут быть записаны следующим образом.

 Y0 = S2 S1 S0 D

 Y1 = S2 S1 S0 D

 Y2 = S2 S1 S0 D

Y3 = S2 S1 S0 D

 Y4 = S2 S1 S0 D

 Y5 = S2 S1 S0 D

 Y6 = S2 S1 S0 D

 Y7 = S2 S1 S0 D

Из этих полученных уравнений логическая схема этого демультиплексора может быть реализована с использованием восьми 4-входовых логических элементов И и трех логических элементов НЕ, как показано на рисунке ниже. Различные комбинации линий выбора активируют один логический элемент И в данный момент времени, так что входные данные появляются на соответствующем выходе.

Существуют две популярные интегральные схемы демультиплексора 1-к-8. Одним из них является IC 74237, который состоит из защелок на трех входах выбора. Вывод этой микросхемы приведен ниже.

Штыри от A0 до A2 являются входами данных, Y0 до Y7 являются выходами демультиплексора, E1 и E2 являются контактами включения данных с активным низким уровнем и активным высоким уровнем соответственно, LE является входом включения защелки, клеммы Vcc и GND являются положительным напряжением питания и клеммы заземления.

Эта ИС сочетает в себе 3-битную защелку памяти с функцией декодера 3-в-8.

Другой часто используемой интегральной схемой демультиплексора 1-8 является IC 74138. Распиновка очень похожа, за исключением того, что нет входа включения защелки (поскольку все контакты разрешения являются обычными контактами включения — два из них активны НИЗКИЙ, а один активен HIGH), а выходы активны LOW. На следующем изображении показана распиновка микросхемы 74138.

демультиплексор 1-к-8 с использованием двух демультиплексоров 1-к-4

Когда для приложения требуется демультиплексор более высокого порядка с большим количеством выходных контактов, мы не можем реализовать его с помощью одной интегральной схемы. В случае, если требуется более 16 выходных контактов, две или более ИС демультиплексора соединяются каскадом для выполнения требования.

Например, если приложению требуется 32 линии вывода из DEMUX, то мы каскадируем два демультиплексора 1:16 или три демультиплексора 1:8. Следовательно, путем каскадирования двух или более демультиплексоров можно реализовать большой демультиплексор.

Рассмотрим случай, когда демультиплексор 1-к-8 может быть реализован с помощью двух демультиплексоров 1-к-4 с правильным каскадированием.

На приведенном выше рисунке старший значащий бит A входов выбора соединен с входами разрешения таким образом, что он дополняется перед подключением к одному демультиплексору, а к другому он подключается напрямую.

В этой конфигурации, когда A установлено на ноль, одна из выходных линий от Y0 до Y3 выбирается на основе комбинации выбранных линий B и C. Аналогично, когда A установлено на единицу, на основе выбранных строк один будут выбраны выходные линии от Y4 до Y7.

Реализация полного вычитателя с использованием DEMUX 1-to-8

Подобно мультиплексорам, демультиплексоры также используются для реализации булевых функций, а также для проектирования комбинационных схем. Мы можем спроектировать демультиплексор для получения любого вывода таблицы истинности, правильно управляя линиями выбора.

Рассмотрим случай реализации схемы демультиплексора для получения полного выхода вычитателя. В приведенной ниже таблице истинности показаны выходные данные полного вычитателя.

А Б Б В Д ​​ Б ВЫХОД
0 0 0 0 0
0 0 1 1 1
0 1 0 1 1
0 1 1 0 1
1 0 0 1 0
1 0 1 0 0
1 1 0 0 0
1 1 1 1 1

Из приведенной выше таблицы полный вывод вычитателя D можно записать как

 D = f (A, B, B IN )

 D = ∑m (1, 2, 4, 7)

 D = A B B IN + A B B IN + A B B IN + A B B IN

И результат заимствования может быть выражен как

 B OUT = f (A, B, B IN ) = ∑m (1, 2, 3, 7)

 B OUT = A B B IN + A B B IN + A B B IN  + A B B IN

Из этих логических выражений можно построить демультиплексор для получения полного вывода вычитателя путем правильной настройки демультиплексора 1-к-8, так что при входе D = 1 он выдает minterms на выходе.

И с помощью логического ИЛИ этих minterms можно получить выходные данные разницы и заимствования, как показано на рисунке.

Применение демультиплексора

Поскольку демультиплексоры используются для выбора или включения одного сигнала из многих, они широко используются в микропроцессорных или компьютерных системах управления, таких как:

  • Выбор различных устройств ввода-вывода для передачи данных (данные Маршрутизация)
  • Выбор разных банков памяти (Memory Decoding)
  • Зависит от адреса, включение разных рядов микросхем памяти
  • Включение различных функциональных блоков.

Помимо этого, демультиплексоры можно найти в самых разных приложениях, таких как:

  • Синхронные системы передачи данных
  • Реализация булевой функции (как мы обсуждали полную функцию вычитания выше)
  • Системы сбора данных
  • Комбинированная схемотехника
  • Системы автоматического испытательного оборудования
  • Системы наблюдения за безопасностью (для единовременного выбора конкретной камеры наблюдения) и др.

Заключение

Полное руководство для начинающих по демультиплексорам или DEMUX. Вы узнали, что такое демультиплексор, различные типы демультиплексоров, такие как 1-к-2, 1-к-4, 1-к-8, 1-к-16, их логические схемы и некоторые важные области применения демультиплексоров.

Типы, принцип работы, преимущества и применение

Демультиплексор также называется демультиплексором или распределителем данных, и его работа полностью противоположна мультиплексору, поскольку он является обратным мультиплексору. Мультиплексор — это схема «многие к одному», тогда как демультиплексор — это схема «один ко многим». Используя демультиплексор, передача данных может осуществляться через один вход на несколько выходных линий данных.

Обычно демультиплексоры используются в схемах декодеров и генераторах булевых функций. Существуют различные конфигурации ввода-вывода. Демультиплексоры доступны в виде отдельных ИС. Кроме того, имеется возможность каскадирования двух или более двух схем DEMUX для создания нескольких выходных демультиплексоров. В этой статье обсуждается обзор демультиплексора и его работы.

Демультиплексор (демультиплексор) представляет собой комбинационную логическую схему, которая включает один вход и n выходов. Данные, полученные одной входной строкой, могут быть переданы на «n» количество выходных строк. Таким образом, функция демультиплексора совершенно противоположна мультиплексору. Мультиплексоры называются селекторами данных, тогда как демультиплексоры являются распространителями данных, потому что они передают аналогичную информацию, полученную на входе, на различные выходы.

Демультиплексор или демультиплексор

Демультиплексор — это устройство 1-к-n, а мультиплексор — n-к-1 устройство. Ниже показана блок-схема демультиплексора, которая включает в себя одну входную линию, «m» линий выбора и «n» выходных линий. Здесь m строк выбора в основном используются для генерации 2m выходных строк. Например, демультиплексору 1-4 требуются 2 линии выбора для управления 4 линиями o/p. Чтобы выбрать конкретный выход, необходимо использовать набор строк выбора для управления конкретной выходной линией, которая подключена к входу.

Типы демультиплексоров

Существуют различные типы демультиплексоров в зависимости от различных конфигураций выходов, например, от 1 до 2, от 1 до 4, от 1 до 8 и от 1 до 16. Эти демультиплексоры доступны в различных корпусах ИС. Некоторые из них; 74139 IC — двойной демультиплексор от 1 до 4, 74138 IC — демультиплексор от 1 до 8, 74237 IC — демультиплексор от 1 до 8, включая адресные линии, 74154 IC — демультиплексор от 1 до 16 и 74159 IC — демультиплексор от 1 до 16 с открытым коллектором . Таким образом, микросхемы демультиплексора также известны как микросхемы декодера.

  • Демультиплексор 1-в-2
  • Демультиплексор 1-в-4
  • Демультиплексор от 1 до 8
  • Демультиплексор 1-к-16
Демультиплексор 1-к-2

Демультиплексор 1-к-2 (демультиплексор) включает одну входную и две выходные линии с 1 линией выбора. Сигнал выбора линии помогает управлять входом на один из 2 выходов. Блок-схема демультиплексора 1-к-2, включая вход разрешения, показана ниже.

Блок-схема демультиплексора с 1 по 2

На приведенной выше диаграмме входные и выходные линии могут быть соединены двумя способами, поэтому одного сигнала выбора достаточно для выполнения операции демультиплексирования.

Как только выбранный вход равен 0 или НИЗКИЙ, он будет подаваться на «X0», а если 1 — ВЫСОКИЙ или 1, то вход будет подаваться на X1. Таблица истинности демультиплексора 1-к-2 показана ниже, где вход подключен к X0 и X1 на основе выбранного входного значения «S».

С Д ​​ X1

Х0

0

0 0 0

0

1 0 1
1 0 0

0

1 1 1

0

Для выходов X0, X1 выберите вход «S», ввод данных «D», логическое выражение:

Если S D = 0 1, то выражение для X0 = S’ D

Если SD = 1 1, то выражение для X1 = S D производный, но его логическая схема может быть построена с помощью вентилей 2-И и вентилей 1-НЕ. Как только линия выбора становится равной нулю, первичный логический элемент И включается, тогда как следующий логический элемент И отключается.

Логическая схема демультиплексора 1-к-2

После этого входные данные могут подаваться на линию o/p ‘X0’. Аналогичным образом, когда строка выбора равна 1, вторичный логический элемент И будет включен, а первичный логический элемент И отключен, поэтому данные могут подаваться к выходной линии «X1».

Демультиплексор 1-к-4

Демультиплексор 1-4 включает один вход, такой как D, 2 линии выбора, такие как S1 и S0, и 4 выхода, такие как X0, X1, X2 и X3. Данные на входе передаются на любой из выходов в указанное время для определенного расположения выбранных строк. Блок-схема 1:4 Demux и ее таблица истинности показаны ниже.

Блок-схема демультиплексора от 1 до 4

Из приведенной ниже таблицы истинности мы можем заключить, что если оба входа выбора равны 0 и 1, вход данных можно соединить с выходом X0. Точно так же, когда линии выбора S0 и S1 равны 0 и 1, ввод данных может быть подключен к выходу X1.

С1

С0 Д ​​ X3 Х2 Х1

Х0

0

0 0 0 0 0 0

0

0 1 0 0 0

1

0 1 0 0 0 0

0

0

1 1 0 0 1 0
1 0 0 0 0 0

0

1

0 1 0 1 0 0
1 1 0 0 0 0

0

1 1 1 1 0 0

0

Аналогичным образом оставшиеся выходы будут подключены к входам для двух других комбинаций выбранных линий. Таким образом, логическое выражение для выходных данных может быть получено с использованием приведенной выше таблицы истинности.

X0 = S1’ S0’ D

X1 = S1′ S0 D

X2 = S1 S0′ D

X3 = S1 S0 D

& X3 и выберите строки S0 и S1. Используя приведенные выше логические выражения, реализация демультиплексора 1-4 может быть выполнена с 4 вентилями И и 2 вентилями НЕ.

Логическая схема демультиплексора от 1 до 4

Используя приведенные выше логические выражения, можно реализовать демультиплексор 1-4 с 4 вентилями И и 2 вентилями НЕ. Две линии выбора, такие как S0 и S1, позволяют одновременно использовать определенный логический элемент И. Кроме того, имеется вход Strobe или контакт Enable, который работает как универсальный вход включения, что означает, что когда бит разрешения высокий, выходы активны. Таким образом, на основе комбинации выбранных входов входные данные могут быть переданы с использованием выбранного вентиля на соответствующий выход.

Демультиплексор от 1 до 8

Ниже показана блок-схема демультиплексора 1-8, которая включает один вход D, 3 входа выбора, такие как S0, S1 и S2, и 8 выходов, такие как X0, X1, X2¸ X3, X4¸ X5¸ X6 и X7. Этот тип демультиплексора также называется демультиплексором 3-8 из-за 3 выбранных входных линий и 8 выходных линий.

Блок-схема демультиплексора от 1 до 8

Он передает одну входную линию к одной из восьми выходных линий на основе выбранных комбинаций входов, например, вход «D» подключен к одному из выходов от X0 до X7 в зависимости от S0, S1 & S2 выберите линии. Таблица истинности от 1 до 8 Demux показана ниже.

S2

S1 С0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0

0

0 0 0 0 0 0 0 0 0

Д

0

0 1 0 0 0 0 0 0 Д 0
0 1 0 0 0 0 0 0 Д 0

0

0

1 1 0 0 0 0 Д 0 0 0

1

0 0 0 0 0 Д 0 0 0

0

1 0 1 0 0 Д 0 0 0 0

0

1

1 0 0 Д 0 0 0 0 0

0

1 1 1 Д 0 0 0 0 0 0

0

На основании вышеупомянутой таблицы истинности для всех выходов логическое выражение может быть записано следующим образом: D

X2 = S2 ‘S1 S0’ D

X3 = S2 ‘S1 S0 D

X4 = S2 S1′ S0 ‘D

X5 = S2 S1′ D

x5 = S2 S1 ‘D

X5 = S2 S1′ D

x5 = S2 S1 ‘D

X5 = S2′ S0 X6 = S2 S1 S0′ D

X7 = S2 S1 S0 D

Из приведенных выше логических уравнений можно разработать логическую схему демультиплексора от 1 до 8 с помощью 8 логических элементов И с четырьмя входами и 3 логических элементов НЕ, как показано на следующей логической схеме.

Логическая схема демультиплексора 1-к-8

Один логический элемент И может быть активирован с помощью различных комбинаций выбранных линий за определенное время, так что входные данные будут выводиться на эквивалентном выходе.

Пожалуйста, перейдите по этой ссылке для MCQ демультиплексора

Демультиплексор от 1 до 16

Ниже показана блок-схема демультиплексора 1-16, которая включает один входной бит данных «D», четыре управляющих бита от S0 до S3 и 16 выходных битов от Xo до X15. Этот тип демультиплексора используется для передачи одной входной линии на одну из выходных линий от X0 до X15 на основе четырех выбранных строк.

Блок-схема демультиплексора с 1 по 16

Таблица истинности демультиплексора с 1 по 16 показана ниже.

С3 С2 S1 С0 X15 X14 X13 X12 X11 X10 Х9 X8 Х7 Х6 Х5 X4 X3 Х2 X1 Х0

0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Д

0

0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Д 0
0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Д 0

0

0

0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 Д 0 0 0
0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 Д 0 0

0

0

0

1 0 1 0 0 0 0 0 0 0 0 0 0 Д 0 0 0 0 0
0 1 1 0 0 0 0 0 0 0 0 0 0 Д 0 0 0 0 0

0

0

1 1 1 0 0 0 0 0 0 0 0 Д 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 0 0 Д 0 0 0 0 0 0 0

0

1

0 0 1 0 0 0 0 0 0 Д 0 0 0 0 0 0 0 0 0
1 0 1 0 0 0 0 0 0 Д 0 0 0 0 0 0 0 0 0

0

1

0 1 1 0 0 0 0 Д 0 0 0 0 0 0 0 0 0 0 0
1 1 0 0 0 0 0 Д 0 0 0 0 0 0 0 0 0 0 0

0

1

1 0 1 0 0 Д 0 0 0 0 0 0 0 0 0 0 0 0 0
1 1 1 0 0 Д 0 0 0 0 0 0 0 0 0 0 0 0 0

0

1

1 1 1 Д 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0

Из приведенной выше табличной формы логические выражения могут быть сформированы следующим образом.

X0= S0′.S1′.S2′.S3′ D

X1= S0′.S1′.S2′.S3 D

X2=.S0′.S1′.S2.S3 ′ D

X3= S0′.S1′.S2.S3 D

X4= S0′.S1.S2′.S3′ D

X5= S0′.S1.S2′.S3 D

X6= S0′.S1.S2.S3′ D

X7= S0′.S1.S2.S3 D

X8 = S0.S1′.S2′.S3′ D

X9 = S0.S1′.S2′.S3

     X10 = S0.S1′.S2.S3′ D

2

 S0.S1′.S2.S3 D

X12 = S0.S1.S2′.S3′ D

X13= S0.S1.S2′.S3 D

.S14= S14. S2.S3′ D

X15= S0.S1.S2′.S3 D

Из приведенных выше логических уравнений можно разработать логическую схему демультиплексора от 1 до 16 с помощью 16 логических элементов И и 4 логических элементов НЕ, как показано на следующей логической схеме. Здесь один логический вентиль И может быть включен с помощью различных комбинаций строк выбора в течение определенного времени, чтобы входные данные отображались на выходе.

1–16 Логическая схема демультиплексора

Какая микросхема работает как демультиплексор?

Доступны различные микросхемы демультиплексора, например, 1-4 демультиплексора 74139IC, демультиплексоры с 1 по 8 — это 74237 IC, 74138 IC, демультиплексор 1–16 — это 74154 IC, 4–16-строчный декодер IC — как 74159.

  • Эффективность системы связи можно повысить с помощью комбинации мультиплексора и демультиплексора
  • Демультиплексор снова разделяет взаимные сигналы на потоки.
  • Его функция полностью противоположна мультиплексору
  • Может использоваться как дешифратор в охранных системах
  • Комбинация Mux и Demux используется для передачи аудио- или видеосигналов.

К недостаткам демультиплекса r относятся следующие.

  • Возможна потеря полосы пропускания
  • Задержки могут возникать из-за синхронизации сигнала

Где используется демультиплексор?

Приложения демультиплексора включают следующее.

  • Демультиплексоры используются в системах управления, микропроцессорах для включения или выбора одного сигнала из множества сигналов
  • Demux используется для выбора маршрутизации данных нескольких устройств ввода-вывода.
  • Выбор разных банков для декодирования памяти.
  • Реализация булевой функции
  • Для включения различных функциональных блоков
  • Используется в синхронных системах для передачи данных
  • Системы сбора данных
  • Включает несколько строк в микросхемах памяти на основе адреса
  • Проектирование комбинационных схем
  • Системы мониторинга безопасности
  • Системы автоматического испытательного оборудования
  • Демультиплексоры
  • используются в решениях CLK для восстановления данных.
  • Применяется в маршрутизаторах длины волны.
  • Используется в системе связи для передачи данных
  • Используется как последовательно-параллельный преобразователь.

alexxlab

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *