Site Loader

Содержание

Документация по ATmega64-16AU

Ñïðàâî÷íûå äàííûå ïî ýëåêòðîííûì êîìïîíåíòàì

8- 64 Flash

:

:

  • 8- AVR
  • RISC – 130 ,
    – 32 8- +

    – 16 MIPS ( 16 )
    – 2-
  • – 64 Flash
    – 1000 /


    – / (Read-While-Write)
    – 2 EEPROM
    – 100000 /
    – 4 SRAM
    – 64
    – ,
    – SPI
  • JTAG ( IEEE 1149.1)- , JTAG

    – JTAG : Flash, EEPROM ,
  • – 8- / ,
    – 16- /, ,

    – 8- PWM
    – PWM 1 16
    – 8- 10- –
    – 8
    – 7
    – 2 1, 10 200
    – – 2-
    – USART
    – SPI (/)


  • – RC-

    – : Idle, Power-save, Power-down, Standby, Extended Standby ADC

    – ATmega103 ()
  • I/O
    – 53 /
    – 64- TQFP
  • – 2,7 5,5 (ATmega64L)
    – 4,5 5,5 (ATmega64)
  • – 0 – 8 (ATmega64L)
    – 0 – 16 (ATmega64)

-:

:

    

DOC

Источник: http://gaw. ru/html.cgi/txt/ic/Atmel/micros/avr/atmega64.htm

Что такое даташит

Даташит – это техническое описание на какой-либо радиокомпонент. Где его найти? Ну, конечно же, в интернете! Так так почти вся радиоэлектронная продукция выпускается “за бугром”, то и описание на них, соответственно, “забугорское”, а точнее, на английском языке. Те, кто хорошо дружит с разговорным английским, не факт, что сможет прочитать технические термины в даташитах.

Источник: http://ruselectronic.com/chitaem-datashit-na-primere-atmega8/

Описание микроконтроллера ATmega48V-10AU

8 разрядный микроконтроллер ATmega48V-10AU производства ATMEL CORP

Источник: http://doc.softelectronics.ru/page748.html

Даташит на русском  Atmega8

Запоминаем правило: в фирменном описании нет ни одного лишнего слова! (иногда информации не хватает, но это уже другой случай)

Features. Переводится как “функции”. В среде электронщиков просто “фичи”. -6). А при 10 МГц — в десять раз быстрее, т.е., 0,1 мкс.

– 32 x 8 General Purpose Working Registers

32 восьмибитных регистра общего пользования.

Про регистры поговорим позднее, просто запомним, что большое количество регистров — весьма неплохо, ведь регистр — это ячейка памяти в самом МК. А чем больше такой памяти – тем «шустрее» работает МК!

Объединив эти данные с количеством поддерживаемых микроконтроллером команд, в очередной раз убеждаемся в изначальной ориентации данного МК под высокоуровневые языки вроде Си, Паскаля и других.

– Fully Static Operation

Полностью статическая структура.

Вспоминаем о типах памяти: динамической и статической. Этот пункт заверяет нас, что МК сохранит свою работоспособность при тактовой частоте ниже сотен герц и даже при отсутствии тактовой частоты на его специальных выводах.

(Также нелишним будет напомнить о том, что потребляемая мощность большинства типов МК напрямую зависит от тактовой частоты: чем выше тактовая частота, тем больше он  потребляет)

– Up to 16 MIPS Throughput at 16 MHz

До 16 миллионов выполняемых команд при тактовой частоте 16 МГц.

За одну секунду при тактовой частоте 16 МГц может быть выполнено до 16 000 000 команд! Следовательно, одна однобайтовая команда может быть выполнена за 0,07 мкс. Весьма недурно для маленькой микросхемы.

С учетом предыдущего пункта понимаем, как работает на частотах от 0 Гц до 16 МГц.

– On-chip 2-cycle Multiplier

В данном МК имеется встроенный умножитель, который умножает числа за два такта.

Ну, это хорошо. Даже очень. Но мы пока не будет вгрызаться в эти нюансы…

– High Endurance Non-volatile Memory segments

Надежная энергонезависимая память, построенная в виде нескольких сегментов.

Вспоминаем типы памяти: EEPROM и FLASH.

– 8KBytes of In-System Self-programmable Flash program memory

– 8 Кбайт встроенной в МК памяти. Память выполнена по технологии Flash. В самом МК имеется встроенный программатор.

Этот объем весьма хорош! Для обучения (да и не только) — с запасом. А наличие встроенного программатора этой памяти, позволяет загружать данные в память, используя простой внешний программатор (в простейшем случае это пять проводков, которыми микроконтроллер подключают к LPT порту компьютера).

– 256 Bytes EEPROM

В МК имеется 256 байт энергонезависимой памяти EEPROM.

Следовательно, можно сохранить еще дополнительную информацию, которую можно изменять программой МК, без внешнего программатора.

– 1024 Bytes Internal SRAM

В МК имеется 1024 байт оперативной памяти (ОЗУ/RAM).

Также весьма приятный объем

– Write/Erase cyles: 10,000 Flash/100,000 EEPROM

Память Flash выдерживает 10 000 циклов записи/стирания, а память EEPROM — до 100 000

Проще говоря, программу в МК можно изменять до 10 000 раз, а свои данные в 10 раз больше.

– Data retention: 20 years at 85°C/100 years at 25°C

Сохранность данных в памяти МК — до 20 лет при температуре хранения 85°C, и 100 лет — при температуре 20°C.

Если ваши внуки и правнуки включат вашу «мигалку» или музыкальную шкатулку, то они смогут насладиться их работой ))

– Optional Boot Code Section with Independent Lock Bits

– Programming Lock for Software Security

МК имеет несколько областей памяти (не уточняем каких), которые можно защитить от прочтения установкой специальных бит защиты.

Ну, тут всё понятно: свои труды вы можете защитить от вычитывания программы из памяти МК.

Далее идет описание имеющейся в данном микроконтроллере периферии (т.е., встроенных в него аппаратных устройств типа таймеров, источников прерываний и интерфейсов связи)

– Two 8-bit Timer/Counters

– One 16-bit Timer/Counter

В МК имеется два таймера/счетчика: 8 и 16 бит.

– Three PWM Channels

Три канала ШИМ

– 8-channel ADC in TQFP and QFN/MLF package

Eight Channels 10-bit Accuracy

– 6-channel ADC in PDIP package

Six Channels 10-bit Accuracy

В составе МК есть несколько каналов АЦП: 6 – для корпуса PDIP и 8 – для корпуса QFN/MLF. Разрядность АЦП — 10 бит.

– Byte-oriented Two-wire Serial Interface

– Programmable Serial USART

В данном МК реализован аппаратный двухпроводный интерфейс связи USART, байт ориентированный и программируемый — имеется возможность настройки параметров интерфейса.

Master/Slave SPI Serial Interface

Реализован SPI интерфейс связи, режимы Мастер/Подчиненный.

[quads id=1]

– Programmable Watchdog Timer with Separate On-chip Oscillator

Сторожевой таймер с собственным автономным генератором.

– On-chip Analog Comparator

Аналоговый компаратор.

– Power-on Reset and Programmable Brown-out Detection

Реализованы режимы контроля напряжения питания и защита работы МК при плохом питании (гарантирует увеличение надёжности работы всей системы).

– Internal Calibrated RC Oscillator

Встроенный калиброванный RC-генератор (можно запустить МК без внешних элементов).

– External and Internal Interrupt Sources

Реализовано несколько типов внешних и внутренних прерываний.

– Five Sleep Modes

Пять режимов «сна» (уменьшение энергопотребления МК за счет отключения некоторых внутренних узлов или специальных методов замедления их работы)

Понимаем как возможность выбора такого режима, при котором соотношение «потребляемая энергия/возможности» будут оптимальны для решения наших задач. Весьма полезная возможность при необходимости экономить энергию: питании от батарей, аккумуляторов и других источников.

– 28-pin PDIP, 32-lead TQFP, 28-pad QFN/MLF and 32-pad QFN/MLF

Указаны типы корпусов, в которых выпускается данный микроконтроллер. Видим «28 DIP» — это хорошо! Не надо покупать специализированные дорогостоящие панели и мучиться с тоненькими и часто расположенными выводами на корпусе МК.

Temperature Range:

 -40°C to 85°C

Рабочая температура: -40°C … +85°C

Очень важный параметр! Бывают модели микроконтроллеров, которые работоспособны только при положительных температурах окружающего воздуха.

(Был у меня горький опыт, когда в устройстве был применен именно такой «теплолюбивый» микроконтроллер. А устройство поместили на улицу… И каждую зиму «благодарные» пользователи моего устройства «хвалили» меня за «замерзание» микроконтроллера, которое проявлялось в виде полного его зависания)

Источник: http://ruselectronic.com/chitaem-datashit-na-primere-atmega8/

Новости электроники

Повышающий DC/DC-преобразователь 12..48 для поддержки производительных ГПУ в датацентрах 200-ваттный усилительный модуль на базе технологии LDMOS от Ampleon CAP-XX выпустит тонкие 3-В суперконденсаторы

Еще новости

Источник: http://datasheet.su/datasheet/Atmel/ATmega64-16AU

Распиновка Atmega8

На следующей странице публикуется расположение выводов данного микроконтроллера при использовании разных типов корпусов:

Советую этот листок из даташита распечатать и иметь под рукой. В процессе разработки и сборки схемы очень полезно иметь эти данные перед глазами.

Внимание!

Обратите внимание на такой факт: микросхема микроконтроллера может иметь (и имеет в данной модели) несколько выводов для подключения источника питания. То есть имеется несколько выводов для подключения «земли» — «общего провода», и несколько выводов для подачи положительного напряжения.

Изготовители микроконтроллеров рекомендуют подключать соответствующие выводы вместе, т.е., минус подавать на все выводы, помеченные как Gnd (Ground — Земля), плюс — на все выводы помеченные как Vcc.

При этом через одинаковые выводы МК не должны протекать токи, так как внутри корпуса МК они соединены тонкими проводниками! То есть при подключении нагрузки эти выводы не должны рассматриваться как «перемычки».

Источник: http://ruselectronic.com/chitaem-datashit-na-primere-atmega8/

Блочная диаграмма

Листаем описание далее, видим главу «Overview» (Обзор).

В ней имеется раздел «Block Diagram» (Устройство). На рисунке показаны устройства, входящие в состав данного микроконтроллера.

Источник: http://ruselectronic.com/chitaem-datashit-na-primere-atmega8/

Конденсаторы по питанию

Перед тем, как подать на микроконтроллер питающее напряжение, выполним правило, которое обязательно для всех цифровых микросхем: в непосредственной близости от выводов питания микросхемы должен быть керамический конденсатор емкостью 0,06 — 0,22 мкф. Обычно устанавливают конденсатор 0,1 мкф. Его часто называют блокировочным конденсатором.

В схему необходимо установить и электролитический конденсатор емкостью 4-10 мкф. Он также является блокировочным фильтром, но на менее высоких частотах. Такой конденсатор можно устанавливать один для нескольких микросхем. Обычно на 2-3 корпуса микросхем.

Дело в том, что микроконтроллер (как и другие цифровые микросхемы) состоит из транзисторных ячеек, которые в процессе работы постоянно переключаются из открытого состояния в закрытое, и наоборот. При этом изменяется потребляемая транзисторными ячейками энергия. В линии питания возникают кратковременные «провалы» напряжения. Этих ячеек в микроконтроллере сотни тысяч (думаю, что сейчас уже миллионы!), поэтому по питающим проводам начинают гулять импульсные помехи с частотами от единиц до десятков тысяч Герц.

Для предотвращения распространения этих помех по цепям схемы, да и самой микросхемы микроконтроллера, параллельно его выводам питания устанавливают такой блокировочный конденсатор. При этом на каждую микросхему необходимо устанавливать индивидуальный конденсатор.

Конденсатор для постоянного тока является изолятором. Но при установке конденсатора в цепи с непостоянным током он делается сопротивлением. Чем выше частота, тем меньшее сопротивление оказывает конденсатор. Следовательно, блокировочный конденсатор с малой емкостью пропускает через себя (шунтирует) высокочастотные сигналы (десятки и сотни Герц), а конденсатор с бОльшей емкостью — низкочастотные. Об этом я писал еще в статье Конденсатор в цепи постоянного и переменного тока

Источник: http://ruselectronic.com/chitaem-datashit-na-primere-atmega8/

ATtiny88 datasheet на русском

Предлагаю Вам совершенно вольный перевод на русский язык некоторых страниц технической документации (datasheet) микроконтроллера ATMEL® AVR® ATtiny88.

Характеристики ATtiny88

  • Высокопроизводительный 8-разрядный Микроконтроллер AVR® с низким энергопотреблением.
  • Усовершенствованная RISC архитектура:
    • 123 ассемблерных команды, большинств выполняется за одиночных такт.
    • 32 x 8-ми битных рабочих регистра общего назначения.
    • Полностью статическое функционирование.
  • Сегменты памяти:
    • 8 кБайт встроенной самопрограммируемой флэш-памяти.
    • 64 байта энергонезависимой EEPROM памяти.
    • 512 Байт встроенной SRAM памяти.
    • Циклы записи/стирания:
      • 10 000 флэш-памяти
      • 100 000 EEPROM
    • Хранение данных:
      • 20 лет при 85°C
      • 100 лет при 25°C
    • Блокировка несанкционированного копирования программного обеспечения.
  • Периферия:
    • Один 8-разрядный таймер/счетчик с отдельным пред делителем частоты и режимом сравнения.
    • Один 16-разрядный таймер/счетчик с пред делителем частоты и режимами сравнения и захвата.
    • 8-ми канальный 10-ти разрядный АЦП (TQFP32)
    • Master/Slave последовательный SPI интерфейс
    • Байт-ориентированный 2-проводной последовательный интерфейс (Совместим с Philips I2C)
    • Программируемый сторожевой таймер с отдельным встроенным генератором (Watchdog)
    • Встроенный аналоговый компаратор
    • Прерывание и пробуждение при смене состояния входов
  • Специальные функции микроконтроллера
    • Встроенный внутрисхемный отладчик
    • Внутрисхемное программирование через SPI порт.
    • RESET при включении программируемое обнаружение пониженного напряжения питания (Brown-Out Detection)
    • Внутренний калиброванный генератор
    • Внешние и внутренние источники прерываний
    • Три режима сна:
      • Холостой ход
      • шумоподавление АЦП
      • отключение питания
    • Встроенный датчик температуры
  • 28 I/O портов в корпусе TQFP32
  • Рабочее Напряжение 1,8 – 5,5 В
  • Диапазон рабочих температур от -40 ° C до +85 ° C
  • Рабочая частота:
    • 0 – 4 МГц при 1,8 – 5,5 В
    • 0-8 МГц при 2,7 – 5,5 В
    • 0-12 МГц при 4,5 – 5,5 В
  • Низкое Энергопотребление
    • Активный режим: 1 МГц, 1,8 В: 240 мкА
    • Режим отключения питания: 0,1 мкА при 1,8 В

 Цоколёвка ATtiny88

 Рис.1 ATtiny88 в корпусе TQFP32

На рисунке 1 цветом выделены названия ножек (pin) в терминах Arduino.

1.1. Описание выводов

Выводы Назначение
VCC Плюс источника питания
AVCC Вывод напряжения питания для аналого-цифрового преобразователя и выбор ножек портов ввода-вывода. Этот вывод должен быть внешне подключен к VCC, даже если АЦП не используется. Если используется АЦП, рекомендуется, чтобы этот вывод был подключен к VCC через фильтр нижних частот.
Следующие контакты получают напряжение питания от AVCC: PC7, PC[5:0] и PA[1:0]. Все остальные ножки портов ввода/вывода получают напряжение питания от VCC.
GND Общий провод. Подключается к минусу источника питания.
Port A, B, С Все порты ввода/вывода микроконтроллера двунаправленные, имеют внутренние подтягивающие резисторы которые выбираются для каждого бита и подключаются к VCC. Когда подключены внутренние подтягивающие резисторы, при подаче низкого уровня сигнала на вход порта ввода/вывода будет протекать входной ток. Выходные буферы портов ввода/вывода имеют симметричные характеристики как на вход так и на выход тока.
Port A (PA3:0) 4-разрядный.
Port B (PB7:0) 8-разрядный. В зависимости от настроек фьюзов выбора тактовой частоты, PB6 может использоваться в качестве входа сигнала для внутренней схемы управления тактовой частотой.
Port C (PC7, PC5:0) 8-разрядный.
PC6/RESET Электрические характеристики PC6 отличаются от характеристик других выводов порта C. Если бит RSTDISBL фьюзов установлен, PC6 используется в качестве порта ввода-вывода, в противном случае, в качестве входа сброса. Низкий уровень на этом выводе приведет к сбросу.
Port D (PD7:0)

8-разрядный. Выходные буферы PD[7:4] имеют симметричные характеристики как и у других портов. Выходные буферы PD[3:0] обладают повышенной нагрузочной способностью при низком уровне на выходе (VOL, sink).

2. Обзор ATtiny88

ATtiny88 — это 8-ми разрядный микроконтроллер изготовленный по КМОП (CMOS) технологии с низким энергопотреблением, основанный на улучшенной RISC архитектуре AVR. Выполняя мощные инструкции за один такт, ATtiny88 достигает пропускной способности, приближающейся к 1 MIPS/МГц.

ATtiny88 использует следующие режимы для экономии электроэнергии:

  1. Режим ожидания: останавливает процессор, позволяя таймеру/счетчику, АЦП, аналоговому компаратору, SPI, TWI и системе прерываний продолжать работать.
  2. Режим шумоподавления АЦП: минимизирует шум переключения во время преобразования АЦП за счет остановки процессора и всех модулей ввода-вывода, кроме АЦП.
  3. Режим отключения питания: регистры сохраняют свое содержимое, все функции микросхемы отключены до следующего прерывания или аппаратного сброса.

Устройство изготовлено с использованием технологии энергонезависимой памяти высокой плотности Atmel. Встроенная флэш-память ISP позволяет перепрограммировать память программ в системе через последовательный интерфейс SPI, с помощью обычного программатора энергонезависимой памяти или с помощью встроенного загрузчика-программ, работающего на ядре AVR. Загрузочная программа может использовать любой интерфейс для загрузки
прикладной программы во флэш-память. Объединяя 8-разрядный процессор RISC с встроенной само программируемой флэш-памятью на монолитном чипе, Atmel ATtiny88 представляет собой мощный микроконтроллер, который обеспечивает очень гибкое и экономичное решение для многих встроенных приложений управления.
AVR ATtiny88 поддерживается полным набором инструментов для разработки программ и систем, включая компиляторы C, макроассемблеры, отладчики/симуляторы программ и оценочные наборы.

2.1 Блок-схема ATtiny88

Рис. 2. Блок-схема ATtiny88.

Ядро AVR сочетает в себе богатый набор инструкций с 32 рабочими регистрами общего назначения. Все 32 регистра напрямую подключены к арифметико-логическому блоку (ALU), что позволяет получить доступ к двум независимым регистрам в одной инструкции, выполняемой за один такт. Такая архитектура более эффективна в коде и обеспечивает при этом пропускную способность в десять раз лучше, чем у обычных микроконтроллеров CISC.

4. Ядро процессора AVR

В этом разделе обсуждается архитектура ядра AVR в целом. Основная функция ядра процессора заключается в обеспечении правильного выполнения программы. Поэтому центральный процессор должен иметь возможность доступа к памяти, выполнять вычисления, управлять периферийными устройствами и обрабатывать прерывания.

Рис. 3. Блок-схема AVR архитектуры.

Для достижения максимальной производительности и параллелизма, AVR использует Гарвардскую архитектуру процессора – с раздельной памятью и шинами для программ и данных. Инструкции в памяти программы выполняются с помощью одноуровневой конвейерной обработки. Пока выполняется одна инструкция, следующая инструкция предварительно извлекается из памяти программы. Эта концепция позволяет выполнять инструкции в каждом такте. Память программ представляет собой внутрисистемную перепрограммируемую флэш-память.

Файл регистров быстрого доступа содержит 32 8-разрядных рабочих регистра общего назначения со временем доступа в один такт. Это позволяет арифметическому логическому устройству (ALU) выполнять операции за один цикл. В типичной операции ALU два операнда получают из файла регистров, выполняется операция и результат сохраняется обратно в файле регистров – за один такт.

Шесть из 32-х регистров могут использоваться в качестве трех 16-ти разрядных регистров указателей косвенных адресов для данных. Пространственная адресация – позволяет эффективно вычислять адреса. Один из этих указателей адреса также может использоваться в качестве указателя адреса для поиска таблиц во флэш-памяти программы. Регистрами с дополнительным функционалом являются 16-разрядные регистры X, Y и Z.

ALU поддерживает арифметические и логические операции между регистрами или между константой и регистром. Операции с одним регистром также могут выполняться в ALU. После выполнения арифметической операции обновляется регистр состояния (SREG), чтобы отобразить информацию о результате выполнения операции. 

Выполнение программы обеспечивается инструкциями условного и безусловного перехода и вызова подпрограмм способными непосредственно обращаться ко всему адресному пространству памяти программ. Большинство инструкций AVR имеют единый 16-разрядный формат слов, но существуют также инструкции 32-разрядного формата. Фактический набор инструкций варьируется, так как некоторые микроконтроллеры реализуют только часть набора инструкций.

Во время прерываний и вызовов подпрограмм, адрес возврата берётся из счетчика команд (PC) помещается в стек. Стек находится в SRAM памяти общих данных и, следовательно, размер стека ограничен только общим размером SRAM и её использованием в программе. Все пользовательские программы должны инициализировать указатель стека (SP) в процедуре сброса до выполнения подпрограмм или прерываний. Указатель стека (SP) доступен для чтения/записи в пространстве адресов регистров ввода-вывода. К данным SRAM можно легко получить доступ с помощью пяти различных режимов адресации, поддерживаемых архитектурой AVR.

Все области памяти в архитектуре AVR являются линейными и регулярными картами памяти.

Гибкий модуль прерываний имеет свои управляющие регистры в адресном пространстве регистров ввода-вывода и дополнительный глобальный Бит разрешения/запрета прерываний в регистре состояния (SREG). Все прерывания имеют отдельный вектор прерывания в таблице векторов прерываний. Прерывания имеют приоритет в соответствии с номером их вектора прерывания. Чем ниже адрес вектора прерывания, тем выше приоритет.

64 Регистра ввода-вывода обслуживают периферию CPU, это управляющие регистры SPI модуля и другие. Доступ к памяти регистров ввода/вывода можно получить напрямую или по адресу в пространстве данных SRAM. Регистры ввода/вывода располагаются в SRAM сразу за файлом регистров быстрого доступа по адресам 0x20 — 0x5F. Кроме того, в ATtiny88 появились дополнительные регистры ввода-вывода которые занимают адреса с 0x60 до 0xFF в SRAM. В адресном пространстве дополнительных регистров ввода-вывода (0x60 до 0xFF) можно использовать только инструкции ST/STS/STD и LD/LDS/LDD.

4.3 Арифметическое логическое устройство (ALU)

Высокопроизводительный AVR ALU работает напрямую со всеми 32 рабочими регистрами общего назначения. За один такт выполняются арифметические операции между регистрами общего назначения или между регистром и значением непосредственно указанным в инструкции. Операции ALU делятся на три основные категории — арифметические, логические и битовые функции. Некоторые реализации архитектуры также предоставляют мощный умножитель, поддерживающий как знаковое и без знаковое умножение, так и дробный формат.

4.4 Регистр состояния 

Регистр состояния содержит информацию о результате последней выполненной арифметической инструкции. Эта информация может использоваться для изменения потока программы для выполнения условных операций. Обратите внимание, что регистр состояния обновляется после всех операций ALU, как указано в Справочнике по набору команд. Во многих случаях это устраняет необходимость использования специальных инструкций сравнения, что приводит к более быстрому и компактному коду.

Регистр состояния не сохраняется автоматически при входе в программу обработки прерывания и не восстанавливается при возврате из прерывания. Это должно выполняться программным обеспечением.

4.5 Файл регистров общего назначения

Файл регистров оптимизирован для расширенного набора команд AVR RISC. Для достижения требуемой производительности и гибкости регистровый файл поддерживает следующие схемы ввода/вывода:

  • Один 8-битный операнд и один 8-битный результата
  • Два 8-битных операнда и один 8-битный результат
  • Два 8-битных операнда и один 16-битный результата
  • Один 16-битный операнд и один 16-битный результат

Рис. 4. 32 рабочих регистра общего назначения в CPU.

Как показано на рис. 4, каждому регистру также назначается адрес в памяти данных, отображающий их непосредственно в первые 32 ячейки пользовательского пространства данных. Хотя эта организация памяти не реализована физически в качестве ячеек SRAM, она обеспечивает большую гибкость при доступе к регистрам, поскольку регистры указателей X, Y и Z могут быть настроены для индексации любого регистра в файле регистров.

Регистры R26: R31 имеют некоторые дополнительные функции. Эти регистры представляют собой 16-разрядные адресные указатели для косвенной адресации пространства данных. Три регистра косвенного адреса X, Y и Z определены, как показано на рисунке 4.

В различных режимах адресации эти адресные регистры выполняют функции фиксированного смещения, автоматического увеличения и автоматического уменьшения.

4.6 Указатель стека

Стек, в основном, используется для хранения временных данных, локальных переменных и адресов возврата после прерываний и вызовов подпрограмм. Регистры указателя стека (SPH и SPL) всегда указывают на верхнюю часть стека. Обратите внимание, что стек растёт сверху вниз, увеличивается от старших адресов ячеек памяти к младшим. Это означает, что инструкция PUSH уменьшает, а инструкция POP увеличивает значение указателя стека.

Указатель уменьшается на единицу, когда данные помещаются в стек с помощью инструкции PUSH, и увеличивается на единицу, когда данные снимаются со стека с помощью инструкции POP. Он уменьшается на два, когда адрес возврата помещается в стек вызовом подпрограммы или переходом к подпрограмме обслуживания прерывания, и увеличивается на два, когда адрес возврата снимается со стека при возврате из подпрограммы (инструкция RET ) или при возврате из подпрограммы обслуживания прерывания (инструкция RETI).

Указатель стека AVR обычно реализуется как два 8-битных регистра в файле регистров ввода-вывода.

Указатель стека должен быть установлен так, чтобы он указывал выше области регистров ввода-вывода, минимальное значение — это наименьший адрес SRAM.

4.7 Время выполнения инструкции

В этом разделе описываются общие концепции времени доступа для выполнения инструкций. CPU AVR управляется тактовой частотой clkCPU . clkCPU напрямую генерируется из выбранного источника синхронизации. Внутреннее деление тактовой частоты не используется.

4.8 Сброс и обработка прерываний

AVR использует несколько различных источников прерываний. Эти прерывания вместе с функцией RESET имеют отдельный вектор на область памяти программ. Для разрешения прерываний, всем прерываниям назначаются отдельные биты разрешения, которые должны быть записаны в логическую единицу. Так же, имеется глобальный бит разрешения всех прерываний. Он находится в регистре состояния. В зависимости от значения программного счетчика прерывания могут быть автоматически отключены, если блокирующие биты LB2 или LB1 запрограммированы (установлены в 0). Эта функция повышает безопасность программного обеспечения.

Самые младшие адреса в области памяти программ по умолчанию определены как таблица векторов сброса и прерываний. Таблица векторов также определяет уровни приоритета различных прерываний. Чем ниже адрес, тем выше уровень приоритета. СБРОС имеет наивысший приоритет, затем идет INT0 — запрос внешнего прерывания 0.

При возникновении прерывания I-бит глобального разрешения прерывания сбрасывается, и все прерывания запрещаются. Программное обеспечение пользователя может записать логическую единицу в I-бит, чтобы разрешить вложенные прерывания. Все разрешенные прерывания могут затем прервать текущую программу обработки прерываний. Бит I устанавливается автоматически при выполнении инструкции возврата из прерывания — RETI.

В основном, есть два типа прерываний. Первый тип запускается событием, которое устанавливает флаг прерывания. Для этих прерываний программный счетчик направляется на фактический вектор прерывания, чтобы выполнить процедуру обработки прерывания, и аппаратные средства сбрасывают соответствующий флаг прерывания. Флаги прерывания также могут быть очищены путем записи логической единицы в позицию (позиции) битов флага, которые необходимо очистить. Если условие прерывания возникает, когда соответствующий бит разрешения прерывания сброшен, флаг прерывания будет установлен и запомнен до тех пор, пока прерывание не будет разрешено или флаг не будет сброшен программно. Точно так же, если возникает одно или несколько условий прерывания, пока глобальный Бит разрешения прерываний сброшен, соответствующий флаг(и) прерывания будет установлен и запомнен до тех пор, пока не будет установлен глобальный бит разрешения прерывания, а затем будет выполняться в порядке приоритета.

Второй тип прерываний будет срабатывать, пока присутствует условие прерывания. Эти прерывания не обязательно имеют флаги прерывания. Если условие прерывания исчезнет до того, как прерывание будет разрешено, прерывание не будет запущено.

Когда AVR выходит из прерывания, он всегда возвращается в основную программу и выполняет еще одну инструкцию, прежде чем будет обработано любое ожидающее прерывание.

Обратите внимание, что регистр состояния не сохраняется автоматически при входе в программу обработки прерывания и не восстанавливается при возврате из программы обработки прерывания. Это должно выполняться программным обеспечением.

При использовании инструкции CLI для отключения прерываний прерывания будут немедленно отключены. После инструкции CLI прерывание выполняться не будет, даже если оно происходит одновременно с инструкцией CLI.

При использовании инструкции SEI для разрешения прерываний, инструкция, следующая за SEI, будет выполняться раньше любых ожидающих в очереди прерываний.

6. Система тактирования

На рисунке 6.1 представлены основные системы тактирования в AVR и их распределение. Все часы тактовые импульсы не обязательно должны быть активными в определенное время. Чтобы снизить энергопотребление, тактовую частоту неиспользуемых модулей можно остановить с помощью различных энергосберегающих режимов (Sleep modes).

Рис. 6.1 Распределение тактовых импульсов.

6.2 Источники тактирования

Микроконтроллер ATtiny88 может использовать любой из следующих источников тактовых импульсов:

CKSEL[1:0] Источник тактирования Допустимая частота
00 Внешний генератор 0 – 12 MHz
01 Резерв  
10 Калиброванный внутренний генератор 8.0 MHz
11 Внутренний генератор 128 kHz

Фьюз CKDIV8 можно запрограммировать на деление внутренней частоты 8.0 MHz на 8.

7. Управление электропитанием и режимы энергосбережения микроконтроллера ATtiny88

Энергосберегающие режимы (Sleep modes) позволяют приложению отключать неиспользуемые модули в MCU, тем самым экономя электроэнергию. AVR предоставляет различные энергосберегающие режимы, позволяющие пользователю адаптировать энергопотребление к требованиям приложения.

Когда включен детектор пониженного напряжения (BOD), он активно контролирует напряжение источника питания, в том числе и во время периодов сна. Для дополнительной экономии энергии можно отключить BOD в некоторых режимах пониженного энергопотребления.

7.1 Энергосберегающие режимы

Режим Описание SMCR Активные источники тактирования Генератор Инициаторы выхода из энергосберегающего режима
SM1 SM0 SE clkCPU clkFLASH clkIO clkADC Главный источник тактирования INT1, INT0 and Pin Change TWI Address Match EEPROM Ready ADC WDT other I/O
Idle Режим ожидания 0 0 1     X X X X X X X X X
ADC Noise Reduction Шумоподавление АЦП 0 1 1       X X X X   X X  
Power-down Отключение питания 1 0 1           X X     X  

Таблица 7.1 Энергосберегающие режимы, активные источники тактирования, инициаторы пробуждения (выхода из энергосберегающего режима).

Чтобы войти в любой из спящих режимов, бит SE в регистр ввода/вывода SMCR должна быть записана 1 и должна быть выполнена инструкция SLEEP. Битами SM1 и SM0 в регистре SMCR выбирают, какой режим энергосбережения (режим ожидания, шумоподавление АЦП или отключение питания) будет активирован инструкцией SLEEP.

Если разрешенное прерывание происходит, когда микроконтроллер ATtiny88 находится в спящем режиме, микроконтроллер выходит из спящего режима. Затем микроконтроллер останавливается на четыре цикла в дополнение к времени запуска, выполняет процедуру прерывания и возобновляет выполнение с инструкции, следующей за SLEEP. Содержимое регистрового файла и SRAM не изменяется, когда микроконтроллер выходит из спящего режима. Если сброс происходит в спящем режиме, микроконтроллер выходит из спящего режима и запускается с вектора сброса.

Обратите внимание, что если прерывание, инициируемое уровнем, используется для пробуждения, измененный уровень должен удерживаться в течение
некоторого времени, чтобы разбудить микроконтроллер и чтобы микроконтроллер вошёл в процедуру обслуживания прерывания.

7.4.2 MCUCR – регистр управления микроконтроллером

Bit 7 6 5 4 3 2 1 0
Name   BODS BODSE PUD        
Read/Write R R/W R/W R/W R R R R
Начальное значение 0 0 0 0 0 0 0 0

Bit 6 — BODS должен быть установлен в 1, чтобы разрешить отключение модуля BOD при переходе микроконтроллера в режим энергосбережения. Запись в бит BODS управляется синхронизированной последовательностью записи 1 в бит разрешения BODSE в  регистре MCUCR.

  • Сначала необходимо установить BODSE в 1.
  • Затем, необходимо установить бит BODS в 1
  • BODSE должен быть установлен в 0 в течение четырех тактовых циклов
  • Бит BODS активен через три тактовых цикла после установки.
  • Команда sleep должна выполняться, пока активен BODS
  • Бит BODS автоматически очищается после трех тактов

7.4.3 PRR — Регистр снижения энергопотребления

Регистр PRR обеспечивает метод остановки синхронизации отдельных периферийных устройств для снижения энергопотребления. Текущее состояние периферийного устройства замораживается, и регистры ввода-вывода не могут быть прочитаны или записаны. Ресурсы, используемые периферийным устройством при остановке тактирования, останутся занятыми, поэтому в большинстве случаев периферийное устройство должно быть отключено перед остановкой. Пробуждение модуля выполняется сбросом бита в PRR и переводит модуль в то же состояние, что и до выключения.

17. АЦП — аналого-цифровой преобразователь.

Примеры использования: Датчик температуры в ATtiny88, 

17.1 Функции АЦП.

  • 10-ти битное разрешение
  • 1 LSB Интегральная нелинейность
  • Абсолютная точность ± 2 младшего разряда
  • 14 мкс Время преобразования
  • 15 kSPS при максимальном разрешении
  • Шесть мультиплексированных односторонних входных каналов
    • + Два дополнительных входных канала в корпусах TQFP, QFN и UFBGA с 32 выводами
    • + Входной канал датчика температуры
  • Дополнительная регулировка влево для считывания результатов АЦП
  • 0 — VCC Диапазон входного напряжения АЦП
  • Выбираемое опорное напряжение АЦП 1,1 В
  • Свободно работающий режим или режим одиночного преобразования
  • Прерывание при завершении преобразования АЦП
  • Шумоподавитель в спящем режиме

17.2 Обзор.

ATtiny88 оснащен 10-битным аналого-цифровым преобразователем (АЦП) последовательного приближения. АЦП подключен к аналоговому девяти канальному мультиплексору, что позволяет АЦП измерять напряжение на шести или восьми (в зависимости от типа корпуса микроконтроллера) несимметричных входах и от одного внутреннего источника напряжения, поступающего от внутреннего датчика температуры. Напряжение на входах
измеряется относительно 0 В (GND).

АЦП содержит схему выборки и хранения, которая обеспечивает поддержание входного напряжения АЦП на постоянном уровне во время преобразования. Блок-схема АЦП показана в Рисунок 17-1.

Рис. 17.1. Блок-схема АЦП.

Имеется отдельный аналоговый вывод напряжения питания для АЦП, AVCC. Разница напряжений между выводами напряжения питания VCC и AVCC не должна превышать 0,3. Схему подключения аналогового вывода напряжения питания AVCC смотрите на рисунке 17.9.

Внутренний источник опорного напряжение номиналом 1,1 В может быть использован качестве альтернативы VCC.

17.3 Работа

Для использования АЦП, бит снижения мощности PRADC в регистре снижения мощности (PRR) должен быть сброшен.

АЦП преобразует аналоговое входное напряжение в 10-битное цифровое значение путем последовательного приближения. Минимальное значение измеряемого напряжения 0 В на ножке GND микроконтроллера, а максимальное значение измеряемого напряжения равно опорному напряжению. Опорное напряжение АЦП можно выбрать, записав бит REFS0 в регистр ADMUX. Альтернативами являются вывод питания AVCC (REFS0=1) и внутренний источник опорного напряжения 1,1 В (REFS0=0).

Канал аналогового входа выбирается записью в биты MUX в регистре ADMUX. Любой из входных контактов АЦП, а также GND и опорное напряжение с фиксированной шириной запрещенной зоны можно выбрать в качестве несимметричных входов для АЦП.

АЦП включается установкой бита включения АЦП, ADEN в регистре ADCSRA. Выбор опорного напряжения и входного канала не вступит в силу, пока не будет установлен ADEN. АЦП не потребляет электроэнергию, когда ADEN сброшен, поэтому рекомендуется выключить АЦП перед переходом в энергосберегающие спящие режимы.

АЦП генерирует 10-битный результат, который отображается в регистрах данных АЦП, ADCH и ADCL. По умолчанию результат отображается с корректировкой вправо, но при желании может быть представлен с корректировкой влево путем установки бита ADLAR в регистре ADMUX.

Если результат скорректирован и требуется не более 8-битной точности, достаточно прочитать только ADCH. В противном случае сначала необходимо прочитать ADCL, а затем ADCH, чтобы быть уверенным, что содержимое регистров данных принадлежит одному и тому же преобразованию. После чтения регистра ADCL доступ АЦП к регистрам данных блокируется. Это означает, что если ADCL был прочитан и преобразование завершается до того, как ADCH считывается, ни один регистр не изменяется, и результат текущего преобразования теряется. Когда ADCH считывается, доступ АЦП к регистрам ADCH и ADCL снова разрешается.

У АЦП есть собственное прерывание, которое может быть запущено после завершения преобразования. Когда доступ АЦП к регистрам данных запрещен между чтением ADCH и ADCL, прерывание сработает, даже если результат будет потерян.

17.4 Запуск аналогово-цифрового преобразования

Убедитесь что АЦП запитан, очистив бит снижения мощности АЦП PRADC, в регистре снижения мощности PRR.

Одиночное преобразование запускается записью логической единицы в бит ADC Start Conversion (ADSC). Этот бит остается установленным, пока выполняется преобразование, и будет сброшен аппаратными средствами, когда преобразование будет завершено. Если во время преобразования выбран другой канал данных, АЦП завершит текущее преобразование перед выполнением смены канала.

Кроме того, преобразование может запускаться автоматически из различных источников. Автоматический запуск включается установкой бита включения автоматического запуска АЦП, ADATE в регистре ADCSRA. Источник запуска выбирается путем установки битов выбора запуска АЦП, ADTS в регистре ADCSRB (список источников запуска см. В описании битов ADTS). Когда на выбранном источнике запуска возникает положительный фронт, предварительный делитель АЦП сбрасывается и начинается преобразование. Это обеспечивает метод запуска преобразований через фиксированные интервалы. Если сигнал триггера по-прежнему установлен, когда преобразование завершено, новое преобразование запускаться не будет. Если другой положительный фронт появляется на источнике запуска во время преобразования, он будет проигнорирован. Обратите внимание, что флаг прерывания будет установлен, даже если конкретное прерывание отключено или бит разрешения глобального прерывания в SREG сброшен. Таким образом, преобразование может быть запущено без прерывания. Однако флаг прерывания должен быть сброшен, чтобы запустить новое преобразование при следующем событии прерывания.

Рис. 17.2. Логика автоматического запуска АЦП.

Использование флага прерывания АЦП в качестве источника запуска заставляет АЦП начать новое преобразование, как только текущее преобразование завершится. Затем АЦП работает в режиме автономной работы, постоянно осуществляя выборку и обновление регистра данных АЦП. Первое преобразование должно быть начато записью логической единицы в бит ADSC в ADCSRA. В этом режиме АЦП будет выполнять последовательные
преобразования независимо от того, сброшен флаг прерывания АЦП, ADIF или нет.

Если автоматический запуск включен, одиночные преобразования могут быть запущены путем записи ADSC в ADCSRA в единицу. ADSC также можно использовать для определения того, выполняется ли преобразование. Бит ADSC будет считываться как один во время преобразования, независимо от того, как преобразование было начато.

Рис. 17.9. Подключение питания АЦП.

17.5 Делитель частоты  и время преобразования

По умолчанию,  для получения максимального разрешения, схема последовательного приближения требует входной тактовой частоты от 50 кГц до 200 кГц. Если требуется разрешение меньше чем 10 бит, входная тактовая частота АЦП может быть выше 200 кГц. Это позволяет получить более высокую частоту дискретизации. Но не рекомендуется использовать более высокую тактовую частоту тактового сигнала чем 1 МГц.

Рис. 17.3. Предделитель АЦП.

Модуль АЦП содержит предделитель, как показано на Рисунок 17.3, которые генерируютполучает приемлемую тактовую частоту АЦП от любой частоты процессора выше 100 кГц. Коеффициент деления устанавливается с помощью ADPS битов в регистре ADCSRA. Предварительный делитель начинает отсчет с момента включения АЦП путем установки бита ADEN в регистре ADCSRA. Предварительный делитель продолжает работать до тех пор, пока установлен бит ADEN, и постоянно сбрасывается при низком уровне ADEN.

Когда начинается одиночное преобразование инициированное установкой бита ADSC в регистре ADCSRA, преобразование начинается со следующего нарастающего фронта тактового цикла АЦП.

Обычное преобразование занимает 13 тактов АЦП. Первое преобразование после включения АЦП (ADEN в ADCSRA установлено) занимает 25 тактов АЦП для инициализации аналоговой схемы, как показано на рисунке 17.4.

Рисунок 17-4. Временная диаграмма АЦП, первое преобразование (режим одиночного преобразования).

Фактическая выборка и удержание происходит через 1,5 тактовых цикла АЦП после начала нормального преобразования и 13,5 тактовых циклов АЦП после начала первого преобразования. Когда преобразование завершено, результат записывается в регистры данных АЦП, и устанавливается ADIF. В режиме одиночного преобразования одновременно сбрасывается ADSC. Затем программное обеспечение может снова установить ADSC, и новое
преобразование будет инициировано на первом нарастающем фронте тактовой частоты АЦП.

Рисунок 17-5. Временная диаграмма АЦП, одиночное преобразование.

Когда используется автоматический запуск, предварительный делитель сбрасывается, когда происходит событие запуска, как показано на рисунке 17.6.  Это обеспечивает фиксированную задержку от триггерного события до начала преобразования. В этом режиме выборки и хранения выполняется два тактовых цикла АЦП после нарастающего фронта сигнала источника запуска. Для синхронизации логики используются три дополнительных тактовых цикла ЦП.

Рис. 17.6. Временная диаграмма АЦП, автоматическое преобразование.

В режиме произвольного запуска, новое преобразование будет запущено сразу после его завершения, пока ADSC останётся на высоком уровне. Смотрите рисунок 17.7.

Рис. 17-7. Временная диаграмма АЦП произвольного запуска преобразования.

Сводка времени преобразования размещена в таблице 17.1.

Табл. 17-1. Время аналогово-цифрового преобразования.

17.6 Выбор канала или опорного напряжения

Биты MUXn и REFS0 в регистре ADMUX однократно буферизуются через временный регистр, к которому ЦП имеет произвольный доступ. Это гарантирует, что выбор каналов и опорного напряжения будет происходить только в безопасной точке во время преобразования. Выбор канала и опорного напряжения постоянно обновляется, пока не начнется преобразование. После начала преобразования выбор канала и опорного напряжения блокируется, чтобы обеспечить достаточное время выборки для АЦП. Непрерывное обновление возобновляется в последнем тактовом цикле АЦП до завершения преобразования (установлен ADIF в ADC-SRA). Обратите внимание, что преобразование начинается на следующем нарастающем фронте тактовой частоты АЦП после записи ADSC. Таким образом, пользователю рекомендуется не записывать новые значения выбора канала или ссылки в ADMUX до тех пор, пока не будет записан один тактовый цикл АЦП после записи ADSC.

Если используется автоматический запуск, точное время запускающего события может быть неопределенным. Особое внимание должно быть принято при обновлении ADMUX регистра, для того, чтобы контроль, преобразования будет затронуты новыми настройками.

Если и ADATE, и ADEN установлены в 1, событие прерывания может произойти в любое время. Если регистр ADMUX изменяется в этот период, пользователь не может определить, будет ли следующее преобразование основано на старых или новых настройках. ADMUX можно безопасно обновить следующими способами:

  • При удалении ADATE или ADEN.
  • Во время преобразования минимум один тактовый цикл АЦП после события запуска.
  • После преобразования перед сбросом флага прерывания, используемого в качестве источника запуска.

В случае обновления ADMUX при одном из этих условий, новые настройки повлияют на следующее преобразование АЦП.

PDF файлы | Научно-производственное предприятие «АВЕРЭЛ»

Техническое описание на микроконтроллеры ATMEL   
Техническое описание на микроконтроллер ATtiny13 (ENG)  Скачать PDF
Техническое описание на микроконтроллер ATtiny25 (ENG)  Скачать PDF
Техническое описание на микроконтроллер ATtiny45 (ENG)  Скачать PDF
Техническое описание на микроконтроллер ATtiny85 (ENG)  Скачать PDF
Техническое описание на микроконтроллер ATtiny2313 (ENG)  Скачать PDF
Техническое описание на Atmega8 (ENG)  Скачать PDF 
Техническое описание на Atmega16 (ENG)  Скачать PDF
Техническое описание на Atmega32 (ENG)  Скачать PDF
Техническое описание на Atmega64 (ENG)  Скачать PDF
Техническое описание на Atmega128 (ENG)  Скачать PDF
Тех. описание на микроконтроллеры MICROCHIP   
Техническое описание на PIC12F629 на русском (RUS)  Скачать PDF
Техническое описание на PIC12F675 на русском (RUS)  Скачать PDF
Техническое описание на PIC16F627 на русском (RUS)  Скачать PDF
Техническое описание на PIC16F628 на русском (RUS)  Скачать PDF
Техническое описание на PIC16F873 на русском (RUS)  Скачать PDF
Техническое описание на PIC16F874 на русском (RUS)  Скачать PDF
Техническое описание на PIC16F876 на русском (RUS)  Скачать PDF
Техническое описание на PIC16F877 на русском (RUS)  Скачать PDF
Техническое описание на PIC18F252 на русском (RUS)  Скачать PDF
Техническое описание на PIC18F242 на русском (RUS)  Скачать PDF
Техническое описание на PIC18F442 на русском (RUS)  Скачать PDF
Техническое описание на PIC18F452 на русском (RUS)  Скачать PDF
Техническое описание на микроконтроллеры SILABS   
Техническое описание на C8051F000 на русском (RUS)  Скачать PDF
Техническое описание на C8051F001 на русском (RUS)  Скачать PDF
Техническое описание на C8051F002 на русском (RUS)  Скачать PDF
Техническое описание на C8051F003 на русском (RUS)  Скачать PDF
Техническое описание на C8051F004 на русском (RUS)  Скачать PDF
Техническое описание на C8051F005 на русском (RUS)  Скачать PDF
Техническое описание на C8051F006 на русском (RUS)  Скачать PDF
Техническое описание на C8051F007 на русском (RUS)  Скачать PDF
Техническое описание на C8051F010 на русском (RUS)  Скачать PDF
Техническое описание на C8051F011 на русском (RUS)  Скачать PDF
Техническое описание на C8051F012 на русском (RUS)  Скачать PDF
Техническое описание на C8051F013 на русском (RUS)  Скачать PDF
Техническое описание на C8051F014 на русском (RUS)  Скачать PDF
Техническое описание на C8051F015 на русском (RUS)  Скачать PDF
Техническое описание на C8051F016 на русском (RUS)  Скачать PDF
Техническое описание на C8051F017 на русском (RUS)  Скачать PDF
Техническое описание на C8051F018 на русском (RUS)  Скачать PDF
Техническое описание на C8051F019 на русском (RUS)  Скачать PDF
Техническое описание на C8051F020 на русском (RUS)  Скачать PDF
Техническое описание на C8051F021 на русском (RUS)  Скачать PDF
Техническое описание на C8051F022 на русском (RUS)  Скачать PDF
Техническое описание на C8051F023 на русском (RUS)  Скачать PDF
Техническое описание на C8051F060 на русском (RUS)  Скачать PDF
Техническое описание на C8051F061 на русском (RUS)  Скачать PDF
Техническое описание на C8051F062 на русском (RUS)  Скачать PDF
Техническое описание на C8051F063 на русском (RUS)  Скачать PDF
Техническое описание на C8051F064 на русском (RUS)  Скачать PDF
Техническое описание на C8051F065 на русском (RUS)  Скачать PDF
Техническое описание на C8051F066 на русском (RUS)  Скачать PDF
Техническое описание на C8051F067 на русском (RUS)  Скачать PDF
Техническое описание на C8051F350 на русском (RUS)  Скачать PDF
Техническое описание на C8051F351 на русском (RUS)  Скачать PDF
Техническое описание на C8051F352 на русском (RUS)  Скачать PDF
Техническое описание на C8051F353 на русском (RUS)  Скачать PDF
Техническое описание на другие компоненты   
Техническое описание на ds18b20 на русском (RUS)  Скачать PDF
Техническое описание на симистор BTA16  Скачать PDF
Техническое описание на симисторы BTA24-BTA26  Скачать PDF
Техническое описание на симисторы BTA41  Скачать PDF
Техническое описание на оптосимистор MOC3021  Скачать PDF
Техническое описание на оптосимистор MOC3052  Скачать PDF
Техническое описание на оптосимистор MOC3063  Скачать PDF
Техническое описание на оптосимистор MOC3083  Скачать PDF

ATmega64

Характеристики микросхемы

Наличие на складе

Артикул Наличие на складе  
ATmega64 По запросу Заказать

Рекомендуемые средства отладки

Внутрисхемный отладчик ATATMEL-ICE

Microchip, Аппаратные

 

Внутрисхемный отладчик от компании Atmel ATATMEL-ICE является развитием и заменой популярного отладчика JTAGICE3.

Новая версия поддерживает больше отладочных интерфейсов и более широкую линейку микроконтроллеров Atmel. Отладчик работает почти со всеми доступными семействами кристаллов с ядром ARM Cortex (кроме Cortex-A5) и линейками 8- и 32-бит микроконтроллеров Atmel AVR. 


 

TFT-дисплеи Riverdi на базе графических контроллеров SSD1963

FTDI, Аппаратные

 

Одновременно с выпуском простых в освоении и использовании TFT-дисплеев с графическим контроллером FT8xx, компания Riverdi выпускает TFT-дисплеи на базе широко распространненого контроллера SSD1963.

 

На нашем сайте представлены все доступные модели дисплеев с контроллерами SSD1963 и отладочные средства для них. Все дисплеи выпускаются серийно и доступны для заказа от 1 шт.

 

TFT-дисплеи Riverdi без контроллера (RGB/LVDS)

FTDI, Аппаратные

 

Одновременно с выпуском простых в освоении и использовании TFT-дисплеев с графическим контроллером FT8xx, компания Riverdi выпускает TFT-дисплеи без встроенных контроллеров с параллельным интерфейсом RGB и последовательным LVDS.

 

На нашем сайте представлены все доступные модели дисплеев и отладочные средства для них. Все приведенные дисплеи выпускаются серийно и доступны для заказа от 1 шт.

Библиотека и примеры приложений для Arduino для FT800 и Atmega 328P

FTDI, Программные

 

Библиотека и примеры графических приложений для FT80x для платформы Arduino.

Внутрисхемный программатор/эмулятор AVR Dragon (ATAVRDRAGON)

Microchip, Аппаратные

 

Отладочное средство AVR Dragon предназначено для программирования и внутрисхемной отладки микроконтроллеров AVR и AVR32 UC3 под управлением сред разработки AVR Studio.

 

Набор также может служить в качестве стартового набора для микроконтроллеров в DIP-корпусах, для которых на плате предусмотрены контактные площадки для их распайки или распайки ZIF-панели под них.

Внутрисхемный эмулятор JTAGICE2

Microchip, Аппаратные

 

Универсальный внутрисхемный эмулятор ATJTAGICE2 предназначен для всех микроконтроллеров AVR и AVR32 UC3 и поддерживает программирование по интерфейсам SPI, JTAG, PDI и внутрисхемную отладку по интерфейсам JTAG, debugWire, PDI и aWire.

Внутрисхемный эмулятор ATAVRONE

Microchip, Аппаратные

 

Универсальный внутрисхемный эмулятор для всех микроконтроллеров AVR и AVR32 UC3.

Графический конфигуратор MPLAB CODE CONFIGURATOR (MCC)

Microchip, Программные

 

Бесплатный плагин для сред разработки MPLAB X IDE и MPLAB Xpress IDE от компании Microchip, который позволяет в графической форме производить конфигурирование микроконтроллера.

Дополнительная плата Inertial Two (ATAVRSBIN2) для применения совместно с наборами Atmel Xplain

Microchip, Аппаратные

 

 

Сенсорная плата Inertial Two разработана корпорацией Atmel в сотрудничестве с ведущими производителями датчиков и позволяет упростить разработку полной пространственной инерциальной системы отсчета с девятью параметрами. На плате расположены :

  • Трехосевой MEMS гироскоп компании InvenSense (IMU-3000™)
  • Трехосевой MEMS акселерометр производства Kionix® Inc. (KXTF9)
  • Трехосевой электронный компас, производимый компанией Honeywell (HMC5883)

Датчик  IMU-3000 позволяeт также производить измерения окружающей температуры.

Дополнительная плата Inertial One (ATAVRSBIN1) для применения совместно с наборами Atmel Xplain

Microchip, Аппаратные

 

Дополнительная плата Inertial One (ATAVRSBIN1) для применения  совместно с наборами Atmel Xplain.

 

Сенсорная плата Inertial One разработана корпорацией Atmel в сотрудничестве с ведущими производителями датчиков и позволяет упростить разработку полной пространственной инерциальной системы отсчета с девятью параметрами. На плате расположены:

  • Трехосевой MEMS гироскоп компании InvenSense (ITG-3200)
  • Трехосевой  MEMS акселерометр производства Bosch Sensortec (BMA150)
  • Трехосевой электронный компас, производимый компанией AKM (AK8975)

Дополнительная плата Light and Proximity One (ATAVRSBLP1) для применения совместно с наборами Atmel Xplain

Microchip, Аппаратные

 

 

Сенсорная плата Light and Proximity One разработана корпорацией Atmel в сотрудничестве с ведущим производителем оптоэлектронных компонентов OSRAM Opto Semiconductors.

На плате расположен сенсор SFH 7770, предназначенный для одновременного измерения уровня окружающего освещения в диапазоне от 3 до 55000 люкс и фиксации приближения отражающих объектов. К сенсору подключены три управляемых им инфракрасных светодиода SFh5059, позволяющих различать направления движения и жесты.  Сенсоры предназначены для применения в мобильных устройствах для бесконтактного контроля перемещений.

Дополнительная плата Pressure One (ATAVRSBPR1) для применения совместно с наборами Atmel Xplain

Microchip, Аппаратные

 

Сенсорная плата Pressure One разработана корпорацией Atmel в сотрудничестве с ведущим производителем датчиков Bosch Sensortec и позволяет упростить разработку конечного оборудования с помощью отладочных плат Atmel Xplain для различных микроконтроллеров в зависимости от требуемой производительности.

На плате расположен датчик абсолютного давления с цифровым выходом BMP085 от Bosch Sensortec, оптимизированный для применения в мобильных устройствах (смартфоны и навигаторы, спортивное и носимое медицинское оборудование). 

Графические модули серии VM800Pxx

FTDI, Аппаратные

 

Графические модули серии VM800P представляют собой готовое решение для реализации графического пользовательского интерфейса на базе TFT-дисплея. Данные модули включают в себя TFT-дисплей, графический контроллер FT800 и микроконтроллер ATMEGA328P. Они могут быть использованы в качестве готовой платформы для разработки приборов или для оценки возможностей микросхемы FT800.

Оценочная плата ATmega324PB Xplained Pro (ATMEGA324PB-XPRO)

Microchip, Аппаратные

 

Оценочная плата ATmega324PB Xplained Pro разработана корпорацией Atmel для оценки параметров и изучения свойств микроконтроллеров ATmega324PB.

 

Плата содержит все необходимые элементы для функционирования микроконтроллера, на плате обеспечен доступ ко всем внешним сигналам исследуемого микроконтроллера. В плату встроен отладчик EDBG, взаимодействующий с ATmega324PB через интерфейс JTAG, обеспечивающий программирование и передачу данных через последовательный виртуальный COM порт. Отладка программы, в том числе на уровне исходного кода для сложных типов данных, производится в среде Atmel Studio.

Оценочная плата ATmega168PB Xplained Mini (ATMEGA168PB-XMINI)

Microchip, Аппаратные

 

Оценочная плата ATmega168PB Xplained Mini разработана корпорацией Atmel для оценки параметров и изучения свойств микроконтроллеров ATmega168PB.

 

Плата содержит все необходимые элементы для функционирования микроконтроллера, его программирования и отладки программного кода. На плате обеспечивается доступ ко всем внешним сигналам исследуемого микроконтроллера. В плату встроен отладчик mEDBG на отдельном микроконтроллере ATmega32U4 с полной поддержкой отладки программы на уровне исходного кода в среде Atmel Studio начиная с версии 6.2.

 

 

Плата Xplained Mini может быть легко интегрирована в прототип разрабатываемого устройства. При поставке в плату записан код демонстрационной программы ReMorse, исходный код которой приведен в Atmel Spaces.

 

Оценочная плата ATmega328PB Xplained Mini (ATMEGA328PB-XMINI)

Microchip, Аппаратные

 

Оценочная плата ATmega328PB Xplained Mini разработана корпорацией Atmel для оценки параметров и изучения свойств микроконтроллеров ATmega328PB.

 

Плата содержит все необходимые элементы для функционирования микроконтроллера, его программирования и отладки программного кода. На плате обеспечивается доступ ко всем внешним сигналам исследуемого микроконтроллера.

 

В плату встроен отладчик mEDBG на отдельном микроконтроллере ATmega32U4 с полной поддержкой отладки программы на уровне исходного кода в среде Atmel Studio начиная с версии 6.2.

 

Оценочный набор MEGA-1284P Xplained (ATMEGA1284P-XPLD)

Microchip, Аппаратные

 

Оценочный набор Xplained является самым простым способом опробовать ключевые характеристики кристаллов ATMEGA1284

 

Как и другие наборы серии Xplained, ATMEGA1284P-XPLD состоит из старшего в серии микроконтроллера, минимального набора периферии и интерфейса для соединения с ПК.

Оценочная плата ATmega168 Xplained Mini (ATMEGA168-XMINI)

Microchip, Аппаратные

 

Оценочная плата ATmega168 Xplained Mini разработана корпорацией Atmel для оценки параметров и изучения свойств микроконтроллеров серии ATmega168.

 

Плата содержит все необходимые элементы для функционирования микроконтроллера, его программирования и отладки программного кода, на плате обеспечивается доступ к внешним сигналам исследуемого микроконтроллера. В плату встроен отладчик mEDBG на отдельном микроконтроллере ATmega32U4 с полной поддержкой отладки программы на уровне исходного кода в среде Atmel Studio начиная с версии 6.2.

Оценочная плата ATmega328P Xplained Mini (ATMEGA328P-XMINI)

Microchip, Аппаратные

 

Оценочная плата ATmega328P Xplained Mini разработана корпорацией Atmel для оценки параметров и изучения свойств микроконтроллеров ATmega328PB.

 

Плата содержит все необходимые элементы для функционирования микроконтроллера, его программирования и отладки программного кода. На плате обеспечивается доступ ко всем внешним сигналам исследуемого микроконтроллера.

 

В плату встроен отладчик mEDBG на отдельном микроконтроллере ATmega32U4 с полной поддержкой отладки программы на уровне исходного кода в среде Atmel Studio, начиная с версии 6.2.

 

Графические модули серии VM801P

FTDI, Аппаратные

 

Графические модули серии VM801P представляют собой готовое решение для реализации графического пользовательского интерфейса на базе TFT-дисплея с емкостным сенсорным экраном.

 

Данные модули включают в себя TFT-дисплей, графический контроллер FT801 и микроконтроллер ATMEGA328P. Они могут быть использованы в качестве готовой платформы для разработки приборов или для оценки возможностей микросхемы FT801.

Внутрисхемный эмулятор JTAGICE3

Microchip, Аппаратные

 

Устаревшее средство разработки. Универсальный внутрисхемный эмулятор для всех микроконтроллеров AVR и AVR32 UC3

Графическая среда разработки Algorithm Builder

Microchip, Программные

 

Algorithm Builder — это графическая среда сторонней разработки для создания программного обеспечения для микроконтроллеров AVR, обеспечивающая быстрое написание проектов различной степени сложности без глубинного изучения языков программирования для этой архитектуры. 

Интегрированная среда разработки AVR Studio 4

Microchip, Программные

 

Устаревшее средство для программирования и отладки 8-разрядных микроконтроллеров AVR

Интегрированная среда разработки AVR Studio 5

Microchip, Программные

 

Устаревшее средство для разработки и отладки приложений для микроконтроллеров AVR и AVR32

Статьи по теме

Емкостные сенсорные кнопки на базе технологии uxTouch Riverdi

FTDI, на русском языке

В предыдущей статье «Особенности TFT-дисплеев серии uxTouch компании Riverdi» было рассказано о серии дисплеев uxTouch компании Riverd. Особенностью этой серии дисплеев является то, что защитное стекло емкостного сенсорного экрана выполняет роли несущего элемента дисплея и внешнего декоративного оформления. Кроме серийных вариантов изготовления дисплеев uxTouch доступна возможность заказного оформления защитного стекла под требования конкретного проекта. Возможны варианты заказного исполнения цвета окантовки, нанесения логотипа и добавления окон под индикацию, а также добавление дополнительных сенсорных элементов. О возможности добавления дополнительных кнопок, использовании данной технологии для изготовления сенсорных клавиатур и работе с ними пойдет речь ниже.

Особенности TFT-дисплеев серии uxTouch компании Riverdi

FTDI, на русском языке

За последние три года продукция польской компании Riverdi прочно заняла свое место  в сегменте, где требуются простые в освоении и управлении цветные TFT-дисплеи. Это стало возможным за счет применения в TFT-модулях графических контроллеров FTDI. Сегодня Riverdi является единственным производителем TFT-дисплеев, кто использует в серийных модулях с диагоналями от 2.8” до 7” контроллеры FTDI FT8xx. Другим, не менее интересным решением Riverdi, является серия дисплеев под названием uxTouch. С этой линейкой дисплеев и возможностями по их модификации предлагаем познакомиться в данном обзоре.      

Графические модули FTDI VM800P и VM801P

FTDI, на русском языке

 

Одной из часто встречающихся на практике задач является модернизация или модификация существующего изделия с целью улучшения его функциональных возможностей. Сегодня одним из популярных вариантов модернизации является графический пользовательский интерфейс на базе цветных TFT-дисплеев с сенсорными экранами. С помощью такого дисплея можно организовать простое и интуитивно понятное пользователю управление прибором.

От простого к сложному. Использование оценочной платы XplainedMini компании Atmel в программной среде ArduinoIDE

Microchip, на русском языке

 

Описаны технологические наработки и дизайнерские приемы Atmel по снижению энергопотребления перспективных микроконтроллеров AVR и SMART ARM – технология picoPower.

Приведены практические результаты, полученные путем Измерения тока потребления микроконтроллера SMARTARM SAML21

8-разрядные AVR корпорации Atmel: новинки и тенденции развития

Microchip, на русском языке

 

Для корпорации Atmel подразделение микроконтроллеров является одним из приоритетных. Ориентируясь на широкий спектр задач, Atmel Corp. предлагает микросхемы различного ценового диапазона, удовлетворяя потребности рынка как дешевыми устройствами с минимальной функциональностью, так и более дорогими мощными процессорами. В данной статье представлены новинки и новые отладочные средства, описаны тенденции развития для популярных 8-разрядных микроконтроллеров AVR.

Новости производителя

27.01.2017

Компания ЭФО получила официальный статус дистрибьютора компании Microchip

 

В 2016 году компания Microchip Technology приобрела фирму Atmel, продукция обеих компаний будет продолжать выпускаться под брендом Microchip.

У Microchip нет планов по снятию с производства какой либо продукции из портфолио Atmel, обозначения компонентов также будут сохранены без изменений. Компания ЭФО рада предложить свои услуги по поставкам и технической поддержке микроконтроллеров и другой продукции Microchip в качестве официального дистрибьютора на территории России.

 

27.01.2017

Приглашаем на семинар «Перспективная продукция „классического“ Microchip», который пройдет 10 февраля 2017 в Ростове-на-Дону

 

Обзорно-технический семинар будет проведен техническими специалистами компании Microchip при информационной и технической поддержке компании «ЭФО» – официального дистрибьютора Microchip в России.

Во время мероприятия будут рассмотрены следующие группы перспективной продукции компании Microchip:

  • Микроконтроллеры PIC – 8 / 16- / 32-bit
  • Средства поддержки разработок
  • Микросхемы Analog FrontEnd
  • Преобразователи данных
  • Интерфейсные решения
  • Управление электропитанием

В программу также входит практическая часть – демонстрация работы с CIP – периферией, не зависимой от ядра микроконтроллера. По окончании мероприятия запланировано время на ответы и вопросы, включая свободную дискуссию с техническими специалистами компаний Microchip и «ЭФО».

С полной программой семинара можно ознакомиться в приглашении.

 

ВНИМАНИЕ!

Участие в семинаре бесплатное, но количество слушателей ограничено, поэтому мы просим вас зарегистрироваться на нашем сайте www.efo.ru.

22.06.2016

Компания IAR Systems предлагает наиболее совершенную технологию оптимизации программного кода для микроконтроллеров Atmel AVR

 

IAR Embedded Workbench – профессиональная среда разработки от компании IAR. Она предназначена для разработки и отладки приложений на языке C/C++ и языке ассемблера для 8- и 32-разрядных микроконтроллеров с архитектурой AVR и микроконтроллеров на базе ядра ARM Cortex, включая беспроводные системы на кристалле (SoC). Для работы только с 8-разрядными микроконтроллерам Atmel AVR предназначена среда IAR Embedded Workbench for AVR (EWAVR).

 

Ниже представлены результаты тестов TI Benchmarks, проведенные для микроконтроллера ATmega328PB. Сравнивается размер кода, полученного компиляторами IAR и GCC при использовании указанных конфигураций. 

 

IAR Embedded Workbench for AVR (EWAVR) V6.70.1.929
Options: —cpu=m328pb -D NDEBUG -r -ms -e -y —clib -Ohz

AVR GNU Compiler Collection (GCC) V3.5.0_1660
Options: -c -funsigned-char -Os -D NDEBUG -fpack-struct -fshort-enums -g2 -std=gnu99 -mmcu=atmega328pи

02.11.2015

Компания Atmel анонсировала микроконтроллеры, устойчивые к воздействию радиации и пригодные для аэрокосмического приборостроения

 

Устойчивый к воздействию радиации микроконтроллер получил обозначение ATmegaS128.

Это специальное исполнение широко распространенного 8-битного микроконтроллера ATmega128 семейства AVR.

 

Основные характеристики:

  • рабочий температурный диапазон -55°C … +125°C
  • напряжение питания 3 … 3.6 В
  • Flash-память объемом 128 КБ Flash, EEPROM 4 КБ, ОЗУ 4 КБ
  • тактовая частота до 8 МГц
  • керамический корпус CQFP 64
  • радиационная стойкость SEL LET > 62.5 MeV.cm2/mg, SEU LET > 3 MeV.cm2/mg, TID до 30 Krad (Si)

 

 

07.09.2015

На склад ЭФО поступили микроконтроллеры ATMEGA168PB-AU новой версии «B»

 

Микроконтроллеры версии «B» выпускаются по проектным нормам 0,13 мкм и полностью совместимы с предыдущим поколением микросхем. Использование ATMEGA168PB-AU позволяет с небольшими затратами модернизировать уже выпускаемое оборудование за счет новых характеристик и меньшей цены. Более подробная информация доступна в статье «Перспективные микроконтроллеры AVR компании Atmel».

Коды для заказа

  • ATmega64L-8AU
  • ATmega64L-8MU
  • ATmega64-16AU
  • ATmega64-16MU
  • ATmega64L-8AN
  • ATmega64L-8MN
  • ATmega64-16AN
  • ATmega64-16MN

ATMEGA644-20AU — Микрочип — 8-битный микроконтроллер, AVR Семейство ATmega Микроконтроллеры серии ATmega64, 20 МГц

ATMEGA644-20AU — это 8-разрядный высокопроизводительный маломощный микроконтроллер AVR на базе RISC, сочетающий 64 КБ флэш-памяти ISP с возможностями чтения во время записи, 2 КБ EEPROM, 4 КБ SRAM, 32 линии ввода / вывода общего назначения, 32 рабочих регистра общего назначения, счетчик реального времени, три гибких таймера / счетчика с режимами сравнения и ШИМ, 2 USART, байтовый двухпроводной последовательный интерфейс, 8-канальный / 10-битный аналого-цифровой преобразователь с дополнительным дифференциальным входным каскадом с программируемым усиление, программируемый сторожевой таймер с внутренним генератором, последовательный порт SPI, тестовый интерфейс JTAG для отладки на кристалле и шесть программно выбираемых режимов энергосбережения.Все 32 регистра напрямую подключены к арифметико-логическому блоку, что позволяет получить доступ к двум независимым регистрам в одной инструкции, выполняемой за один такт. Результирующая архитектура более эффективна с точки зрения кода и обеспечивает до десяти раз более высокую пропускную способность, чем обычные микроконтроллеры CISC.

  • Расширенная архитектура RISC
  • 131 Мощные инструкции
  • Полностью статический режим
  • Пропускная способность до 20MIPS при 20 МГц
  • Долговечные энергонезависимые сегменты памяти
  • Дополнительная секция загрузочного кода с независимыми битами блокировки
  • Внутрисистемное программирование с помощью встроенной программы загрузки
  • Истинная операция чтения-во время записи
  • Программный замок для защиты программного обеспечения
  • Интерфейс JTAG
  • Сброс при включении и программируемое обнаружение обесточивания
  • Внешние и внутренние источники прерываний

Приложения

Автоматизация и управление процессами

Предупреждения

Рыночный спрос на этот продукт привел к увеличению сроков поставки.Сроки доставки могут отличаться. Товар освобожден от скидок.

ATMEGA644A-MU — Микрочип — 8-битный микроконтроллер, маломощный, высокопроизводительный, AVR Семейство ATmega Микроконтроллеры серии ATmega64

ATMEGA644A-MU — это высокопроизводительный 8-разрядный микроконтроллер на базе RISC AVR, сочетающий 64 КБ флэш-памяти ISP с возможностями чтения во время записи, 2 КБ EEPROM, 4 КБ SRAM, 32 линии ввода-вывода общего назначения, 32 рабочих регистра общего назначения , счетчик реального времени, три гибких таймера / счетчика с режимами сравнения и ШИМ, два USART, байтовый двухпроводной последовательный интерфейс, 8-канальный 10-битный аналого-цифровой преобразователь с дополнительным дифференциальным входным каскадом с программируемым усилением, программируемый сторожевой таймер с внутренним генератором, последовательным портом SPI, JTAG (IEEE 1149.1) тестовый интерфейс для отладки и программирования на кристалле и шесть программно выбираемых режимов энергосбережения. Устройство работает от 1,8 до 5,5 В. Выполняя мощные инструкции за один такт, устройство достигает пропускной способности, приближающейся к 1 MIPS на МГц, балансируя энергопотребление и скорость обработки.

  • Расширенная архитектура RISC
  • Сброс при включении и программируемое обнаружение обесточивания
  • Внутренний калиброванный RC-генератор
  • Внешние и внутренние источники прерываний
  • Шесть спящих режимов — режим ожидания, шумоподавление АЦП, энергосбережение, отключение питания, режим ожидания и расширенный режим ожидания
  • 131 мощных инструкций — выполнение за один тактовый цикл
  • 32 x 8 рабочих регистров общего назначения
  • Полностью статический режим
  • Пропускная способность до 20MIPS при 20 МГц
  • 2-тактный умножитель на кристалле
  • Поддержка библиотеки QTouch®
  • JTAG (IEEE std.1149.1 совместимый) интерфейс
  • Программируемый сторожевой таймер с отдельным встроенным генератором
  • Встроенный аналоговый компаратор
  • Прерывание и пробуждение при смене вывода
  • Два 8-битных таймера / счетчика с отдельными предделителями и режимами сравнения
  • Один 16-битный таймер / счетчик с отдельным предварительным делителем, режимом сравнения и режимом захвата
  • Счетчик реального времени с отдельным генератором
  • Шесть каналов ШИМ
  • Два программируемых последовательных USART

Предупреждения

Рыночный спрос на этот продукт привел к увеличению сроков поставки.Сроки доставки могут отличаться. Товар освобожден от скидок.

ATMEGA64-16AU — Microchip — 8-битный микроконтроллер, AVR Семейство ATmega Микроконтроллеры серии ATmega64, 16 МГц

ATMEGA64-16AU — это 8-битный маломощный КМОП микроконтроллер, основанный на архитектуре RISC, улучшенной AVR. Выполняя мощные инструкции за один такт, ATmega64 достигает пропускной способности, приближающейся к 1 MIPS на МГц, что позволяет системе оптимизировать энергопотребление по сравнению со скоростью обработки.Ядро AVR сочетает в себе богатый набор инструкций с 32 рабочими регистрами общего назначения. Все 32 регистра напрямую подключены к арифметико-логическому устройству (ALU), что позволяет получить доступ к двум независимым регистрам в одной инструкции, выполняемой за один такт. Результирующая архитектура более эффективна с точки зрения кода и обеспечивает до десяти раз более высокую пропускную способность, чем обычные микроконтроллеры CISC.

  • Расширенная архитектура RISC
  • 130 Мощные инструкции
  • Полностью статический режим
  • Пропускная способность до 16MIPS при 16 МГц
  • Долговечные энергонезависимые сегменты памяти
  • Истинная операция чтения-во время записи
  • Программный замок для защиты программного обеспечения
  • Интерфейс SPI для внутрисистемного программирования
  • Интерфейс JTAG
  • Программный замок для защиты программного обеспечения
  • Сброс при включении и программируемое обнаружение обесточивания
  • Внешние и внутренние источники прерываний

Аппликатор

Автоматизация и управление процессами

Advarsler

Рыночный спрос на этот продукт привел к увеличению сроков поставки.Сроки доставки могут отличаться. Товар освобожден от скидок.

Таблицы данных

ATMEGA64-16MU | Встроенные — микроконтроллеры IC MCU 8BIT 64KB FLASH 64QFN -Apogeeweb

Характеристики • Высокопроизводительный 8-разрядный микроконтроллер AVR® с низким энергопотреблением
• Расширенная архитектура RISC
— 130 Мощные инструкции — Выполнение в большинстве случаев за один тактовый цикл
— 32 x 8 рабочих регистров общего назначения + регистры периферийного управления
— Полностью статическая работа
— Пропускная способность до 16 MIPS при 16 МГц
— Двухтактный умножитель на кристалле
• Энергонезависимая память программ и данных
— 64 Кбайт входных данных Перепрограммируемая флэш-память системы
Срок службы: 10 000 циклов записи / стирания
— Дополнительная секция кода загрузки с независимыми битами блокировки
Программирование внутри системы с помощью встроенной программы загрузки
Истинная операция чтения во время записи
— 2 Кбайт EEPROM
Срок службы: 100 000 записей / Циклы стирания
— Внутренняя SRAM объемом 4 Кбайт
— Дополнительное пространство внешней памяти до 64 Кбайт
— Блокировка программирования для обеспечения безопасности программного обеспечения
— Интерфейс SPI для Внутрисистемное программирование
• JTAG (IEEE std.1149.1) Интерфейс
— Возможности граничного сканирования в соответствии со стандартом JTAG
— Расширенная поддержка отладки на кристалле
— Программирование флэш-памяти, EEPROM, предохранителей и битов блокировки через интерфейс JTAG
• Периферийные функции
— Два 8-битных Таймер / счетчики с отдельными предделителями и режимами сравнения
— Два расширенных 16-битных таймера / счетчика с отдельным предделителем, режимом сравнения и режимом захвата

— Счетчик реального времени с отдельным генератором
— Два 8-битных канала ШИМ
— 6 ШИМ Каналы с программируемым разрешением от 1 до 16 бит
— 8-канальный, 10-битный АЦП
8 несимметричных каналов
7 дифференциальных каналов
2 дифференциальных канала с программируемым усилением (1x, 10x, 200x)
— двухбайтовый Проводной последовательный интерфейс
— Двойные программируемые последовательные USART
— Последовательный интерфейс SPI ведущий / ведомый
— Программируемый сторожевой таймер со встроенным генератором
— Встроенный аналоговый компаратор
• Специальный Характеристики микроконтроллера
— Сброс при включении питания и программируемое обнаружение пониженного напряжения
— Внутренний калиброванный RC-генератор
— Внешние и внутренние источники прерываний
— Шесть режимов сна: режим ожидания, шумоподавление АЦП, энергосбережение, отключение питания, режим ожидания
и Расширенный режим ожидания
— программно выбираемая тактовая частота
— Режим совместимости с ATmega103, выбираемый предохранителем
— Глобальное отключение подтягивания
• Ввод-вывод и пакеты
— 53 программируемых линий ввода / вывода
— 64-выводный TQFP и 64-контактный MLF
• Рабочее напряжение
— 2.7 — 5,5 В для ATmega64L
— 4,5 — 5,5 В для ATmega64
• Уровни скорости
— 0 — 8 МГц для ATmega64L
— 0 — 16 МГц для ATmega64

Начальная встроенная электроника — 2

Для французского перевода этого руководства, пожалуйста, посетите этот сайт. Спасибо Avice Robitaille за этот перевод.

Чтобы получить португальский перевод этого руководства, посетите этот веб-сайт. Спасибо Артуру Веберу за этот перевод.

Чтобы получить сербско-хорватский перевод этого руководства, посетите этот веб-сайт.Спасибо Ане Скрба за перевод.

Чтобы получить армянский перевод этого руководства, посетите этот веб-сайт. Спасибо Гайку Меликяну за перевод.

Чтобы получить немецкий перевод этого руководства, посетите этот веб-сайт. Спасибо Филипу Эггеру за перевод.

Чтобы получить голландский перевод этого руководства, посетите этот веб-сайт. Спасибо Arno Hazecamp за этот перевод.

Вы можете получить все детали для этой лекции здесь.Мы также настоятельно рекомендуем вам приобрести мультиметр с настройкой «непрерывности». Мультиметр хорошего качества с этой настройкой стоит ~ 60 долларов, а действительно впечатляющий — до 300 долларов. Нам нравится наша дешевая цена за 60 долларов.

Извините за путаницу. Когда эти учебники были написаны и сфотографированы, мы использовали ATmega8. Теперь у нас есть более новый ATmega328. Вы найдете всю информацию о ATmega328 на следующих страницах, но на изображениях будет ATmega8.

Я предполагаю, что вы проверили и исправили источник питания 5 В.Затем нам нужно вставить ATmega в макетную плату и подключить питание и землю.

ATmega8 (работает так же с ATmega168 и 328), расположенный в среднем ряду макета

Вам нужно будет немного согнуть ножки DIP (двухрядный корпус), чтобы ATmega охватила центр макета. Будь осторожен! Не загибайте штифты слишком далеко внутрь. Контакты ATmega должны войти в два самых внутренних ряда на макетной плате.Я считаю, что лучше вставить одну сторону, а затем слегка подтолкнуть микросхему в сторону, пока другая сторона контактов не сможет войти в противоположный ряд на макетной плате. Я знаю, что сбивает с толку.

Примечание: «Шина» 5 В — это горизонтальный ряд отверстий рядом с красной линией. У вас должен быть провод, соединяющий вашу схему регулятора мощности 5 В с одним отверстием на шине 5 В. Это запитает все отверстия рядом с красной линией напряжением 5 В. То же самое и с синей линией. Все горизонтальные отверстия рядом с синей линией соединяются вместе.Одно из этих отверстий должно быть подключено к контакту заземления на регуляторе напряжения и к заземлению настенной бородавки. Вы можете подключить контакты VCC на ATmega328 к любым отверстиям на шине 5 В, а контакты GND на ATmega328 — к любому отверстию вдоль синей шины GND.

Ой, привет! Если вам никто никогда не говорил, есть действительно простой способ выяснить, где находится вывод 1 на ИС. Производитель чего-либо поляризованного (танталовые колпачки, электролитические колпачки, светодиоды, микросхемы и т. Д.) Всегда наносит на устройство какую-либо маркировку, чтобы указать, как устройство должно быть ориентировано.Для микросхем на одном конце микросхемы есть небольшая выемка. Синяя стрелка на картинке указывает на эту ямочку. Оранжевая стрелка указывает на контакт 1, а синие метки показывают, как увеличиваются номера контактов.

Маркировка контактов на IC

Если считать от углубления, вывод 1 находится слева и увеличивается вниз по левой стороне ИС. Номера кеглей переходят в правый ряд кеглей и начинают счет. См. Изображение из таблицы данных ATmega328 ниже.

ATmega328 должен быть в макете, контакт 7 (VCC) и контакт 20 (AVCC) должны быть подключены к вашей шине 5 В, а контакты 8 и 22 (GND) должны быть подключены к GND на вашей макетной плате. Если вы включите свою цепь питания, ATmega328 теперь работает, но ему нечего запускать!

На самом деле это не совсем так — необходимо выполнить еще одно соединение, прежде чем ATmega328 начнет выполнение кода. Вывод RESET на ATmega328 должен быть подключен к VCC.Вы можете подключить вывод RESET напрямую к 5 В или «привязать его к высокому уровню», подключив вывод RESET к VCC через резистор. Это позволит вам добавить кнопку мгновенного сброса. Что это? Строка сброса на ATmega328 — это именно то, на что она похожа — она ​​сбрасывает микроконтроллер так же, как сброс работает на вашем компьютере. Если вы посмотрите на таблицу ATmega328, вы увидите, что метка RESET написана с линией над ней. Это номенклатура, указывающая на то, что на выводе сброса находится активный низкий уровень. Что такое «активный минимум»? Вывод RESET является входом.Низкий уровень на этом выводе приведет к сбросу микроконтроллера, то есть вывод активируется низким входным сигналом, также известным как «активный низкий уровень». Поэтому, если вы не хотите, чтобы ваш ATmega328 оставался в состоянии сброса, вам нужно подтянуть этот контакт высоко.

Теперь вам нужна кнопка сброса. Переключатель мгновенного действия — это переключатель, который активируется (или замыкается), когда вы касаетесь его, и открывается, когда вы отпускаете кнопку. Их часто называют «тактильными переключателями», потому что они «щелкают», когда вы нажимаете на них, давая человеку, нажимающему кнопку, некоторую «тактильную» обратную связь.

Так выглядит схематическая часть. Обратите внимание, что контакты 1 и 2 соединены вместе. 3 и 4 соединены вместе. И когда вы нажимаете кнопку, он временно соединяет 1/2 + 3/4 вместе.

Обратите внимание, что у этой кнопки пять ножек. Если у вашей пуговицы пять ножек, просто не обращайте внимания на среднюю ножку — она ​​ни к чему не связана и может быть отрезана.

Чтобы проверить эту кнопку, достаньте надежный мультиметр и установите его на непрерывность.Это настройка более хороших мультиметров среднего класса, которая имеет решающее значение для поиска и устранения неисправностей и проведения экспериментов. Соедините щупы вместе — вы должны услышать звуковой сигнал, указывающий на наличие непрерывности или (почти) нулевого сопротивления между щупами. Вставьте кнопку в макетную плату и проверьте два контакта на одной стороне кнопки. Если вы выбрали булавки 1/2 или 3/4, вы должны услышать звуковой сигнал. Эти контакты постоянно соединены внутри переключателя. Если вы выбрали булавки 1/3 или 2/4, вы не услышите шума, но нажмите кнопку.Нажав на кнопку, вы установите электрическое соединение между всеми четырьмя контактами — и вы должны услышать звуковой сигнал! Это означает, что у вас есть электрическая непрерывность.

На схеме показаны контакты 1 и 2 переключателя сброса, соединенные вместе (подключенные к земле), и контакты 3/4, соединенные вместе (подключенные к! RESET). На практике вам просто нужно, чтобы переключатель работал. Поиграйте с мультиметром и найдите два контакта, которые не издают шума, когда кнопка не нажата, и издают шум, когда кнопка нажата.Используйте эти два штифта.

Схема, показанная выше, — это то, к чему мы стремимся. Резистор 10 кОм «подтягивает» вывод сброса к высокому уровню во время нормальной работы. Если подтянуть контакт сброса к высокому уровню, ATmega328 будет работать нормально. Когда вы нажимаете переключатель сброса (S2), контакт сброса видит постоянное соединение с землей. Поскольку сопротивление при нажатии переключателя почти равно нулю, он выигрывает (по сравнению с сопротивлением резистора 10 кОм!), А вывод сброса становится низким, активируется RESET, и ATmega328 переходит в состояние сброса.Отпустите кнопку, и штифт сброса снова поднимется, и ATmega328 выйдет из режима сброса. Отлично!

Распиновка ATmega328

Видите ямочку из таблицы данных ATmega328? Если смотреть на верхнюю часть микросхемы (ножки вниз) и углубление вверх, номера выводов увеличиваются, начиная с 1 в верхнем левом углу. Так пронумерован каждый вывод IC. Однако маркировка ориентации немного различается между производителями и типами упаковки.Ищите несовпадающие отметки, такие как ямочка, маленькая точка, белая стрелка, зазубренный угол — все, что отличает эту область чипа от других частей чипа, вероятно, указывает на контакт 1. Если вы сомневаетесь, проверьте таблицу данных.

Выполните сброс, подключенный к ATmega8 (то же самое относится к ATmega168 и ATmega328)

Узнайте, как использовать настройку непрерывности на мультиметре. Это будет жизненно важно для устранения неполадок в будущем!

Каждый производитель микроконтроллера использует свой метод для получения кода во флеш-памяти микроконтроллера.В последние несколько лет упор был сделан на ISP или «системное программирование». ISP позволяет программировать ИС, не отключая микроконтроллер от приложения. Это нетривиально! История была намного болезненнее. Компания Atmel разработала относительно простой метод, требующий управления несколькими выводами (всего 6). Из-за этого простого интерфейса аппаратный программист, необходимый для подключения вашего компьютера к этому интерфейсу ISP, также очень прост (дешев!).

Красная полоса указывает расположение контакта 1

.

Помните, как мы идентифицировали контакт 1 на ИС по углублению? Ну разъемы также нуждаются в поляризации, чтобы мы не меняли ориентацию разъема и не поджаривали вещи. К сожалению, нумерация разъемов отличается от нумерации микросхем. На изображении разъема ISP вы видите красную полосу, обозначающую контакт 1. ИС последовательно ведет отсчет с одной стороны. Разъемы, с другой стороны, увеличивают количество контактов, вперед и назад, по мере того, как вы продвигаетесь вниз по разъему.

Цепочка программирования выглядит примерно так:

  1. Существует бесплатный компилятор C под названием AVR-GCC. Пользователь пишет код на C, а затем компилирует этот код в файлы HEX

  2. AVR-GCC может быть установлен на платформе Windows с помощью простой программы установки WinAVR

    .
  3. Пользователь получает этот HEX-код на AVR через контакты ISP

    .
  4. И программатор последовательного порта, и программатор параллельного порта были разработаны для подключения порта компьютера к контактам

    ISP AVR.
  5. Компьютер запускает программу командной строки для передачи файла HEX с компьютера на последовательный или параллельный порт и на контакты

    ISP AVR.
  6. Микро запускает машинный код (*.Файлы HEX) после включения или сброса

Что такое компилятор C? Это программа, которая вводит программу, написанную на языке C, и выводит файл HEX. Мы предпочитаем программировать на C, потому что это проще для нас, чем сборка, и более гибко, чем BASIC.

Что такое HEX-файл? Это файл, содержащий различные шестнадцатеричные символы. Эти шестнадцатеричные «коды» представляют собой машинные инструкции, которые понимает ATmega328. Этот файл отправляется программисту, и программист загружает эти машинные инструкции в ATmega328.

Прежде чем мы сможем слишком сильно сойти с ума, загрузите и установите WinAVR на компьютер, на котором вы будете разрабатывать свой код. Если эта ссылка устарела, поиск в Google приведет вас прямо к ней. Установка Windows должна быть довольно простой — следуйте всем настройкам по умолчанию. WinAVR содержит версию компилятора GCC и различные другие инструменты, включая avrdude и Programmer’s Notepad. avrdude — это простая программа командной строки, которая принимает файл HEX и отправляет его в последовательный или параллельный порт для программирования на микроконтроллере Atmel.

Работая в обратном направлении вверх по этому списку, я предоставлю вам пример HEX-файла «Hello World», который докажет, что все работает правильно на вашем micro. С любой платой микроконтроллера первая уловка — всегда заставить мигать светодиод. Это «Привет, мир» встроенных систем. Угадайте, что делает blink_1MHz.hex?

Имея в руках шестнадцатеричный файл мигания, вам нужно вставить его в микроконтроллер. Вам нужно будет подключить AVR-PG1 (или AVR-PG2) к ATmega328.Самый простой способ сделать это — подключить 9 проводов от макета к 10-контактному разъему на разъеме ISP на AVR-PG1 / PG2.

Заклинивание проводов в разъеме ISP не является хорошим долгосрочным решением, но для того, чтобы светодиод начал мигать, это подойдет. Я отрезал короткие провода и зачистил оба конца. Один зачищенный конец вставляется в конец черного разъема для программирования, другой конец вставляется в макетную плату.

Параллельный программатор AVR-PG2 подключен к ATmega328.Я также подключил два конденсатора по 0,1 мкФ. Эти развязывающие колпачки размещаются рядом с выводами VCC и GND на ATmega328, чтобы уменьшить шум в ИС. Вы можете подумать, что у вас есть прямое напряжение постоянного тока 5 В, но на самом деле это не так — эти конденсаторы 0,1 мкФ помогают уменьшить пульсации на линии 5 В. Да, ATmega328, вероятно, будет работать без них, но их можно установить.

AVR ISP Примечание: Вам действительно нужно подключить все 4 контакта GND. Вы не можете подключить только один из контактов GND к разъему ISP.

Дополнительно нам понадобится светодиод для управления. Его можно привязать к любому выводу GPIO. PC0 выглядит неплохим местом.

Порядок резистора / светодиода не имеет значения — просто помните (из Урока 1), что резистор должен быть у вас! Вывод GPIO на самом деле не имеет значения. blink_1MHz.hex переключит все контакты на всех портах, чтобы вы могли подключить резистор к любому контакту. По мере добавления периферийного оборудования вы захотите выделить несколько контактов для альтернативного использования (например, контакты TX и RX для последовательной связи).

Вы приближаетесь! Пора программировать чип!

После установки WinAVR на рабочем столе должно появиться несколько новых значков. Programmers Notepad — хороший редактор кода и подсветка.

Что такое редактор кода / подсветка? При программировании вам понадобится текстовый редактор на вашем компьютере, чтобы вы могли создавать (печатать) код. После того, как вы создали этот «код» на своем компьютере (внутри редактора кода), вы передадите этот код компилятору (вы нажмете кнопку, которая запустит компилятор с файлом C, который вы ввели), и компилятор создаст файл HEX (при условии, что в вашем коде нет проблем или опечаток).Хайлайтер? При создании кода часто бывает полезно иметь цветовую кодировку различных частей вашей программы, чтобы вы могли указать общие вещи, такие как для () и #define . Эта подсветка очень помогает при программировании.

Используйте любой текстовый инструмент, который вам нравится. Блокнот будет работать, но он довольно прост. Еще мне нравится JFE со времен моего ПОС. У обоих есть опция «инструменты», и это здорово, но, на мой взгляд, JFE лучше, потому что в нем перечислены функции C, по которым вы можете дважды щелкнуть и перейти к ним.Если есть способ проделать подобный трюк в Programmer’s Notepad 2, пожалуйста, дайте мне знать! Поскольку Programmers Notepad v2 (он же PN2) поставляется с установкой WinAVR, мы будем его использовать!

AVR-GCC чрезвычайно мощный, очень сложный и сложный в использовании на начальном этапе. Я привык передавать файл * .c компилятору PIC (CC5x) и получать обратно файл HEX. Ни суеты, ни беспорядка. Поверьте, боль от установки и запуска AVR-GCC того стоит. AVR-GCC — действительно хороший компилятор, а — бесплатный .Я включил стандартный файл Makefile и blink_1MHz.c в файл blink_1MHz.zip, чтобы вы начали. Я ни в коем случае не являюсь человеком типа Linux или make. Все, что вам нужно знать, это то, что когда вы набираете «make» в командной строке, компилятор будет искать файл с именем «Makefile» (без расширения файла!) И использовать этот файл, чтобы указать, как скомпилировать ваш C-файл.

Это единственные два файла, которые вам нужно заставить мигать при компиляции.Откройте blink_1MHz.c в блокноте программиста и нажмите Инструменты-> Сделать все. Это то же самое, что ввести «make all» в командной строке из того каталога, в котором вы сохранили эти два файла. Например

C: \ Code \ Blink> сделать все

также должен скомпилировать ваш код. Это просто немного проще сделать через интерфейс Блокнота программиста, чем переключаться туда и обратно в окно командной строки.После того, как вы успешно скомпилировали C-файл в HEX-файл, вам теперь нужно загрузить этот шестнадцатеричный файл в AVR. Наконец-то пришло время включить вашу систему! Дешевым программистам AVR требуется, чтобы цель (это ваша макетная плата) обеспечивала питание программатора (это AVR-PG1 или PG2). Включите макетную плату — вы должны увидеть, как загорится светодиодный индикатор питания. С этого момента я предполагаю, что вы используете программатор параллельного порта AVR-PG2.

В make-файле есть только два места, о которых вам следует позаботиться сейчас.Эти два места расположены в разделе опций программирования. Этот make-файл огромен, но прокрутите его до раздела «Параметры программирования» (avrdude). Теперь поставьте ‘#’ перед строками, которые вы хотите закомментировать.

Если вы используете AVR-PG1 (программатор последовательного порта), вы редактируете так:

#AVRDUDE_PROGRAMMER = stk200
AVRDUDE_PROGRAMMER = ponyser

# com1 = последовательный порт. Используйте lpt1 для подключения к параллельному порту.
#AVRDUDE_PORT = lpt1
AVRDUDE_PORT = COM1

Если вы используете AVR-PG2 (программатор параллельного порта), вы редактируете так:

AVRDUDE_PROGRAMMER = stk200
#AVRDUDE_PROGRAMMER = ponyser

# com1 = последовательный порт. Используйте lpt1 для подключения к параллельному порту.
AVRDUDE_PORT = lpt1
#AVRDUDE_PORT = COM1

Конечно, номера портов зависят от вашего конкретного компьютера, но как только вы начнете работать, вы будете настроены на всю жизнь.Предполагая, что вы отредактировали и сохранили свой make-файл, вернитесь к PN2. Включив макетную плату, нажмите Инструменты-> Программа. Это отправит команду «make program» в командную строку. Если все настроено правильно, вы должны успешно загрузить blink_1MHz.hex на целевой ATmega328, и ваш светодиод должен мигать.

Если вы получили сообщение об ошибке:

не удается открыть устройство giveio

Тогда прочтите эту страницу.Обычно вам нужно скопировать файл giveio.sys из C: \ WinAVR / bin в каталог C: \ Windows, а затем ввести в командной строке install_giveio.bat .

Типичные проблемы:

Если вы все еще не можете запрограммировать AVR — это то место, где в конечном итоге оказываются 99% новых пользователей. Покопайтесь и устраните неполадки.

Правильно ли подключено соединение с интернет-провайдером? Разъем ISP перевернуть легко. Взгляните на фотографии выше.

Есть ли ослабленный провод? Вытащите мультиметр и убедитесь, что на контакты VCC и GND на ATmega328 подается 5 В. У проводов, идущих в разъем ISP, хорошее надежное соединение?

Ваш ATmega328 подключен к источнику питания и заземлению?

Ваш источник питания 5 В выдает 5 В?

У вас есть правильный COM-порт или LPT-порт, выбранный в вашем make-файле?

Есть множество вещей, которые нужно проверить.Это тяжело! Я знаю. Но как только вы настроите все правильно, и этот светодиод будет мигать, вы почувствуете себя фантастически!

Хорошо — я предполагаю, что вы правильно загрузили код в AVR и что светодиод мигает. Поздравляю! Теперь вы на пути к целому миру боли! Когда у вас что-то работает, трудно останавливаться! GPS, регистрация данных, RF, разводка печатной платы — все это всего в паре шагов.

Вы можете получить все детали для этой лекции здесь.

Вот некоторые дополнительные ресурсы для программирования AVR:

Мы любим отзывы! Сообщайте об опечатках, комментариях или рекомендациях по адресу [email protected].

Лекция 1 — Предпосылки и источник питания

Лекция 2 — Как получить код для микроконтроллера

Лекция 3 — Что такое осциллятор?

Лекция 4 — UART и последовательная связь

Лекция 5 — Компиляция AVR GCC

Лекция 6 — Основы пайки

Лекция 7 — Пайка SMD

Лекция 8 — Eagle: Схемы

Лекция 9 — Eagle:

Layout

Лекция 10 — Орел: Создание новой детали

Распространенные ошибки, советы и хитрости

USB STK300 Стартовый комплект микроконтроллера AVR с AVRISP



  • Полный комплект для обучения АРН
  • Включает руководства, схемы и книги на CD
  • Плата AVR STK300 с установленным 64-контактным ATmega128
  • Программатор USB AVRISP
  • Среда разработки AVRStudio и компилятор WinAVR C

Доставка в Российскую Федерацию
Зарегистрированная Авиапочта: 15 долларов США.50
FedEx Express: 39,00 долл. США

Код заказа
STK300

Цена: 95,00 $

В наличии

Сопутствующие товары


Подробнее

Лучший стартовый комплект ATMega128 …


Плата AVR STK300, разработанная Кандой для Atmel, всегда была чрезвычайно популярной, и теперь компания Kanda обновила и улучшила этот стартовый комплект AVR ATMega128 с помощью USB-программатора.Этот комплект содержит все необходимое для разработки конструкций с 64-контактными микроконтроллерами AVR, включая учебные материалы.
Версия с включенным эмулятором находится здесь,
STK300 с AVR Dragon

Обзор различных стартовых комплектов АРН и плат АРН

STK300 Стартовый комплект АРН

  • Плата AVR с установленным ATmega128
  • AVRISP USB AVR Программатор
  • AVR ISP работает из AVRStudio 4 и 5 или из отдельного программного обеспечения
  • Встроенная книга C на компакт-диске
  • Начать работу…Книга AVR на компакт-диске
  • Компилятор WinAVR C
  • Примеры WINAVR C — UART, клавиатура, ЖК-дисплей, переключатели и светодиоды
  • Среда разработки, ассемблер и симулятор Atmel AVRStudio4
  • Техническое описание AVR, документация, схемы и файлы примеров
Установленные устройства ATmega64 и ATmega2561 подходят к этой плате AVR — см.
KANMEGDEV2561
KANMEGDEV64
Пожалуйста, свяжитесь с отделом продаж или используйте кнопку цитаты ниже, если вам требуется установленный ATmega64, ATmega1281V или ATmega2561 вместо ATmega128L в стандартной комплектации.

Поддержка устройств AVR


  • ATmega64, ATmega2561, ATmega1281 и ATmega128 имеют поддержку платы
  • Эквивалент низкого напряжения (L) Поддерживаются детали
  • Устройства, поддерживаемые интернет-провайдером: —
  • ATtiny13, ATtiny25, ATtiny26, ATtiny2313, ATtiny45, ATtiny261, ATtiny461, ATtiny84 *, ATtiny85, ATtiny861
  • ATtiny24, ATtiny44, ATtiny84 — только ISP
  • ATmega48, ATmega8, ATmega88, ATmega16, ATmega161, ATmega162, ATmega163, ATmega164P, ATmega165, ATmega168, ATmega169, ATmega32, ATmega325, ATmega324P, ATmega649, ATmega85, ATmega649, ATmega85, ATmega649, ATmega85
  • ATmega64, ATmega128, ATmega1280, ATmega1281, ATmega169, ATmega2561, ATmega2560, ATmega3250, ATmega3290, ATmega640, ATmega6450, ATmega6490
  • AT90CAN32, AT90CAN64, AT90CAN128, AT90PWM2 / 3, AT90PWM316
  • См. STK200 в сопутствующих продуктах с поддержкой разъемов для небольших (40-контактных или меньше) устройств.

Операционные системы


  • Win 2000 / XP / VISTA / 7/8 (32- и 64-разрядные версии)

STK300 Сведения о плате AVR

  • Микроконтроллер ATmega128L-8AI, установленный на дочерней плате, чтобы избежать проблем с поверхностным монтажом. ATmega128L работает от 2,7-5,5 В и обычно работает быстрее 8 МГц при более высоких напряжениях. Если вместо этого вам требуется установленный микроконтроллер ATmega128-16AI, ATmega1281 или ATmega2561, запросите коммерческое предложение, так как может взиматься небольшая дополнительная плата.
  • Легкий доступ ко всем портам AVR на плате
  • Поддержка периферийных устройств, включая АЦП и два порта RS232
  • ЖК-интерфейс.
  • 3,3 В / 5 В работа
  • Доступны источники питания +10 и -10 В для подключения OP-Amp
  • Короткое замыкание в цепи
  • Поддержка часов реального времени
  • Поддержка внешней флэш-памяти
  • Переключатели и светодиодная полоса, с перемычками для перемещения порта
  • 24C гнездо EEPROM
  • Интерфейсы ISP и JTAG
  • Установленное устройство ATMega128L

STK300 Состав комплекта


  • Плата AVR STK300
  • AVRISP -U USB-порт Программатор AVR.Простота использования, полная поддержка Fuse, Lockbit и Bootblock. Может работать из AVRStudio или самостоятельно
  • AVRStudio Среда разработки от Atmel. Включает проекты, редактор, ассемблер и симулятор. Это программное обеспечение Atmel
  • WINAVR Компилятор C AVR, встроенный в AVRStudio
  • Примеры WINAVR C — UART, клавиатура, ЖК-дисплей, переключатели и светодиоды
  • Руководство, схемы, таблицы данных AVR, файлы примеров, примеры схем
  • Встроенная книга C на компакт-диске

STK300 Принадлежности


Документация



Они открываются в новом окне.


Информация для заказа

Информация для заказа Код заказа: STK300 Цена: 95,00 долларов
Доставка в Российскую Федерацию: Зарегистрированная авиапочта — 15,50 долларов США Fedex Express — 39 долларов США

AVR ATMEGA64 PDF

привет всем, я новичок в области AVR.. и мне нужна помощь. Я хочу запрограммировать контроллер AtMega64. МИКРОЧИП (ATMEL) ATMEGAAU | Микроконтроллер AVR; EEPROM: 2кБ; SRAM: 4 КБ; FlashkB; TQFP64 — этот продукт доступен в Transfer Multisort. Установленный микроконтроллер Atmel ATmega64 AVR, чтобы избежать пайки микроконтроллера ATmega на поверхности.

Автор: Фэзилкри Мура
Страна: Гамбия
Язык: Английский (Испанский)
Жанр: Личностный рост
Опубликовал (последний): 2 августа 2007
Страниц: 428
PDF Размер файла: 10.83 Мб
Размер файла ePub: 17.82 Мб
ISBN: 659-5-82714-745-8
Загрузки: 95647
Цена: Бесплатно * [ * Требуется бесплатная регистрация ]
Загрузил: Зулкилабар

Atmel содержит заметки по применению и код, относящийся ко многим интерфейсам шины.В других проектах Wikimedia Commons. Разработчики работали в тесном сотрудничестве с разработчиками компиляторов в IAR Systems, чтобы гарантировать, что набор AVR qvr обеспечивает эффективную компиляцию языков высокого уровня.

Выполняя мощные инструкции за один такт, ATmega64 достигает пропускной способности, приближающейся к 1 MIPS на Amega64, что позволяет разработчику системы оптимизировать энергопотребление в зависимости от скорости обработки.

Хотя микроконтроллеры являются 8-битными, каждая инструкция принимает одно или два битовых слова.Измерение воздушного зазора магнитопровода для индукторов с домашней обмоткой и трансформатора обратного хода 7.

Примеры проектов с Atmel AVR ATmega 64

atmeega64 Программные инструкции хранятся в энергонезависимой флэш-памяти. В дополнение к чипам, производимым Atmel, клоны доступны от Atmegw64 Technologies. Atmel Studio — Среда разработки программного обеспечения. AVR Butterfly поставляется с предустановленным программным обеспечением для демонстрации возможностей микроконтроллера. Напротив, адресное пространство LD-битов инструкции косвенной загрузки расширяется за счет включения энергонезависимой памяти, такой как флэш-память и биты конфигурации; поэтому инструкция LPM «Загрузить программную память» не нужна и опускается.

Цифровой мультиметр показал напряжение ниже ожидаемого.

Они предназначены для мониторинга и управления удаленными узлами, полагаясь на питание хоста, а не на локальные батареи. AVR — это модифицированная машина с гарвардской архитектурой, где программа и данные хранятся в отдельных системах физической памяти, которые появляются в разных адресных пространствах, но имеют возможность считывать элементы данных из памяти программ с помощью специальных инструкций.

ATmega64 — 8-битные микроконтроллеры AVR — микроконтроллеры и процессоры

Обновление флэш-памяти с помощью U-Disk.Мне нужна принципиальная схема. Радиаторы, часть 2: плата включает ЖК-экран, джойстик, динамик, последовательный порт, часы реального времени, микросхему памяти RTCflash, а также датчики температуры и напряжения.

Среди первых в линейке AVR был AT90S, который в корпусе DIP с выводами имеет такую ​​же распиновку, что и микроконтроллер, включая внешний мультиплексированный адрес и шину данных. Сообщение от Шиджаса.

Конструктор алгоритмов для AVR. Для получения подробной информации см. Набор инструкций Atmel AVR.Все последние модели Tiny, Mega и Xmega, кроме AVR 90S, оснащены встроенным генератором, что устраняет необходимость во внешних тактовых генераторах или схемах резонатора. Набор команд AVR более ортогонален, чем у большинства восьмиразрядных микроконтроллеров, в особенности клонов и микроконтроллеров PIC, с которыми AVR сегодня конкурирует. Пакеты для измерения уровня микросхем.

Некоторые AVR также имеют предварительный делитель системных часов, который может делить системные часы максимум на схему 4 фотоэлектрического зарядного устройства. Как можно снизить энергопотребление для вычислений для сбора энергии?

Микроконтроллеры AVR

LogicGreen Technologies, клон ATmega. Самый маленький из вариантов tinyAVR использует сокращенную архитектуру с опущенными только 16 регистрами с r0 по r15, которые не адресуются как ячейки памяти.При сбросе загрузчик запускается первым и выполняет запрограммированное пользователем определение, следует ли перепрограммировать или перейти к основному приложению. Обратные ссылки шаблона веб-архива Все статьи с мертвыми внешними ссылками Статьи с мертвыми внешними ссылками atmega6 июль Статьи с постоянно мертвыми внешними ссылками CS1 Русскоязычные источники ru Все статьи с неподтвержденными заявлениями из декабря Статьи с неподтвержденными заявлениями из января Статьи, содержащие русскоязычные- язык текст Статьи со ссылками Керли Статьи Википедии с идентификаторами GND Статьи Википедии с идентификаторами LCCN.

alexxlab

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *